摘要
AMC1204 是一款二階隔離Delta-Sigma 調制器,由于其出色的直流特性、交流特性及隔離特性,廣泛應用在通信電源系統、逆變器、整流器、UPS 及電機控制中,可實現系統電源電壓及電流的檢測和監控。本文簡單介紹了AMC1204 的工作原理,重點討論輸入采樣電阻阻抗對AMC1204 轉換精度的影響以及調制器輸出濾波器的設計。
1 AMC1204 簡介
AMC1204 是一款二階隔離Delta-Sigma 調制器。如圖1 所示,它使用TI 特有的電容隔離技術,滿足UL1577,IEC60747-5-2 及CSA 認證標準,隔離工作電壓可達1200Vpeak,瞬間隔離電壓達4000Vpeak,共模瞬態抑制在15KV/us 以上。電容隔離器件具有同電感隔離器件相比更高的磁場抗擾性及可靠性,同光耦隔離器件相比更低的功耗等這些顯著的優點,同時AMC1204 內部集成了高精度的Delta-Sigma 調制器,電路設計簡單,被廣泛應用于通信電源系統、逆變器、整流器、UPS 及電機控制等場景。
圖1 AMC1204 內部示意框圖
AMC1204 具有出色的直流特性,DNL 誤差小于1LSB,INL 誤差最大為8LSB(-40℃至85℃),失調誤差1mV,增益誤差小于2%。如果將失調和增益誤差校正后,不考慮電源及溫度的影響,由ADC 引入的誤差僅為0.012%。此外,AMC1204 也表現出了不錯的交流特性, SNR 可達88dB,THD 可達-96dB,有效位數ENOB可達14bit(OSR=256, 濾波器)。因此,AMC1204 可以滿足絕大多數高精度系統的使用要求。圖2 是在通信系統電源中的一個檢測電壓和電流的典型應用電路,該電路使用兩片AMC1204 實現對48V 電源的電壓監測及負載電流檢測,輸出數字信號給后端進行處理,不需要額外使用隔離器件,應用電路設計更簡單、更可靠。
圖2 48V 通信電源電壓/電流檢測電路
1 外圍電路設計對轉換精度的影響分析
在電路設計中,影響AMC1204 轉換精度主要是外圍電路的電阻取值,尤其在通過電阻分壓電路測試電壓值的應用場景中。下面分析輸入采樣電阻對AMC1204 轉換精度的影響。
1.1 輸入等效電路及分析
AMC1204 的輸入等效電路如圖3 所示,在一個時鐘周期內,完成一次采樣/放電,輸入等效電阻 。當AMC1204 的輸入時鐘頻率為5MHz 時,等效阻抗約為50KΩ;當輸入時鐘頻率為20MHz 時,等效阻抗約為12.5KΩ。如果待測信號的阻抗較大,則輸入等效阻抗的影響不能忽略,因為這將可能嚴重影響到ADC 轉換的精度。因此,實際使用時,需要根據系統的性能要求,選擇滿足測量精度的采樣電阻值。
圖3 AMC1204 輸入等效電路
以圖4 所示電路為例, 如果不考慮器件輸入等效電阻的影響, 輸入差分電壓理論值為
。考慮到輸入等效電阻, 實際輸入差分電壓應為 。
圖4 AMC1204 測試電路
以 為例,則當時,由輸入等效電阻引入的誤差約為0.96%;當 時,由輸入等效電阻引入的誤差約為0.1%。因此,實際應用時,如果要系統精度達到1%以上,則需要采樣電阻的阻抗小于0.01,以盡量減小由輸入采樣電阻引入的誤差。
1.1 電路設計例子
使用圖4 所示電路測量不同輸入采樣電阻和時鐘頻率情況下AMC1204 轉換精度。實驗使用作為調制器后端的硬件濾波器,濾波器配置為型,過采樣率(OSR)設置為256。實驗結果如表1 所示。是輸入電壓的目標值,是VINP 管腳與VINN 管腳之間電壓實測值,? 是VINP 管腳與VINN 管腳之間電壓理論計算值(考慮到輸入阻抗的影響), 是由調制器的輸出經濾波器后的轉換結果。
?
1.1 小結
以上實驗結果可以看出,實測的輸入電壓值與考慮到輸入阻抗計算得到的理論值基本一致,實驗得到的數據與理論分析基本吻合。輸入時鐘頻率直接決定了AMC1204 的輸入阻抗,輸入采樣電阻值相對于AMC1204 的輸入阻抗越低,輸入采樣電阻的影響就會越小。因此,在一些測量電壓的應用場景下,如果采樣電阻值無法減小,可以使AMC1204 工作在較低的時鐘頻率以提高輸入阻抗,獲得較高的轉換精度。當然,降低輸入時鐘頻率是以犧牲轉換速率為代價的。此外,如果無法通過降低輸入時鐘頻率和輸入采樣電阻的方式提高精度,還可以通過軟件方法對AMC1204 的失調誤差和增益誤差進行校正,即對表1 中的誤差指標進行額外補償,以提高系統精度,獲得最佳的系統性能。
2 調制器輸出濾波的設計
AMC1204 輸出1 比特位寬由0 和1 組成的數據流,數據流中1 的密度與模擬輸入電壓成正比。當輸入電壓為250mV 時,輸出1 的比例為89.0625%;當輸入電壓為-250mV 時,輸出1 的比例為10.9375%;當輸入電壓為0mV 時,輸出1 的比例為50%。當輸入電壓從-250mV 到+250mV 之間,AMC1204 的轉換性能可以得到保障。為了得到真實的輸出數據信息,一般需要在輸出后端進行數字濾波處理,實際應用中可以采用以下兩種濾波器對輸出數據進行處理。
2.1 移動平均濾波器
移動平均濾波器比較簡單,它是取輸入信號的最近的一些值,進行算術平均,相當于一個低通濾波器,濾除高頻分量,保留低頻分量。在時鐘clk 的上升沿,對AMC1204 輸出的高脈沖進行計數,計算M 個clk 的上升沿時,對應的高電平脈沖個數N。則,對應的轉換結果為(640*N/M-320)mV。平均的項數越多,即M 越大,則得到變化越緩慢的輸出信號,但得到的精度也越高。
圖5 AMC1204 輸出數據波形
需要注意的是,在實際應用中,這種方法必須平均盡可能多的輸入信號才能獲得比較高的精度。移動平均濾波器實現比較簡單,不需要單獨增加DSP 或FPGA 即可實現。但是,移動平均濾波器的頻域效果較差,滾降較慢,因此,在檢測低頻信號及對精度要求不高的應用中,可以考慮使用這種方法。但是,對于精度要求比較高的應用中,需要考慮使用性能更好的濾波器,如Sinc 濾波器。
2.2 Sinc 濾波器
Sinc濾波器具有良好的頻域特性,較低的成本和功耗,延時較低,因此,廣泛用作Delta-Sigma DAC 的濾波器。Sinc 濾波器可通過專門的濾波器芯片或者通過FPGA 或DSP 算法來實現。
AMC1210 是一個4 通道的數字濾波器,芯片輸出接口可設置為SPI 接口或者并行接口方式,方便與CPU 進行數據通信。數字濾波器可設置為Sincfast,, 或者方式。實際應用時,由于濾波器具有更好的低通特性,建議將AMC1210 配置為 濾波器,過采樣率(OSR)設為256以獲得最優的轉換結果。
圖6 AMC1204 與AMC1210 的連接
此外,也可以通過FPGA 或DSP 來實現Sinc 濾波器算法。濾波器的基本架構如圖7 所示。
圖7 調制器與抽取濾波器的基本架構
以下是用VHDL 語言實現SINC3 濾波器的一段示例程序。其中,CNR=MCLK/M,M 為抽取率(即過采樣率OSR)。
圖8 數字濾波器架構
圖8 的示例代碼:
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity FLT is
port(RESN, MOUT, MCLK, CNR : in std_logic;
CN5 : out std_logic_vector(23 downto 0));
end FLT;
architecture RTL of FLT is
signal Z1 : std_logic_vector(23 downto 0);
signal Z2 : std_logic_vector(23 downto 0);
signal Z3 : std_logic_vector(23 downto 0);
signal Z4 : std_logic_vector(23 downto 0);
signal Z5 : std_logic_vector(23 downto 0);
signal Z6 : std_logic_vector(23 downto 0);
signal Z7 : std_logic_vector(23 downto 0);
begin
process(MCLK, RESN)
begin
if RESN = ‘0’ then
Z1 《= (others =》 ‘0’);
Z2 《= (others =》 ‘0’);
Z3 《= (others =》 ‘0’);
elsif MCLK‘event and MCLK = ’1‘ then
Z1 《= Z1 + MOUT;
Z2 《= Z2 + Z1;
Z3 《= Z3 + Z2;
end if;
end process;
process(CNR, RESN)
begin
if RESN = ’0‘ then
Z4 《= (others =》 ’0‘);
Z5 《= (others =》 ’0‘);
Z6 《= (others =》 ’0‘);
Z7 《= (others =》 ’0‘);
elsif CNR’event and CNR = ‘1’ then
Z4 《= Z3;
Z5 《= Z3 - Z4;
Z6 《= Z3 - Z4 - Z5;
Z7 《= Z3 - Z4 - Z5 - Z6;
end if;
end process;
CN5 《= Z7;
end RTL;
3 結論
應用高性能隔離Delta-Sigma調制器AMC1204時,為了確保轉換精度,需要注意外圍輸入電路的設計,選擇合適的輸入采樣電阻以及時鐘頻率,使得輸入采樣電阻阻值相對于輸入等效阻抗盡量小,以此降低輸入電阻引起的轉換誤差;同時選擇合適的輸出濾波器,以滿足整個系統對測量精度的要求。
4 Reference
[1] AMC1204 datasheet
[2] AMC1210 datasheet
?
?
評論
查看更多