在线观看www成人影院-在线观看www日本免费网站-在线观看www视频-在线观看操-欧美18在线-欧美1级

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

verilog模型舉例:利用D觸發器實現時鐘使能

454398 ? 來源:csdn ? 作者:csdn ? 2021-10-01 10:16 ? 次閱讀

時鐘使能電路是同步設計的基本電路。在很多設計中,雖然內部不同模塊的處理速度不同,但由于這些時鐘是同源的,可以將它們轉化為單一時鐘處理。在ASIC中可以通過STA約束讓分頻始終和源時鐘同相,但FPGA由于器件本身和工具的限制,分頻時鐘和源時鐘的Skew不容易控制(使用鎖相環分頻是個例外),難以保證分頻時鐘和源時鐘同相,因此推薦的方法是使用時鐘使能,通過使用時鐘使能可以避免時鐘“滿天飛”的情況,進而避免了不必要的亞穩態發生,在降低設計復雜度的同時也提高了設計的可靠性。

禁止用計數器分頻后的信號做其它模塊的時鐘,而要用改成時鐘使能的方式。否則這種時鐘滿天飛的方式對設計的可靠性極為不利,也大大增加了靜態時序分析的復雜性。

帶使能端的D觸發器,比一般D觸發器多了使能端,只有在使能信號EN有效時,數據才能從D端被打入D觸發器,否則Q端輸出不改變。

我們可以用帶使能端的D觸發器來實現時鐘使能的功能。

verilog模型舉例

在某系統中,前級數據輸入位寬為8位,而后級的數據輸出位寬為32,我們需要將8bit數據轉換為32bit,由于后級的處理位寬為前級的4倍,因此后級處理的時鐘頻率也將下降為前級的1/4,若不使用時鐘使能,則要將前級的時鐘進行4分頻來作后級處理的時鐘。這種設計方法會引入新的時鐘域,處理上需要采取多時鐘域處理的方式,因而在設計復雜度提高的同時系統的可靠性也將降低。為了避免以上問題,我們采用了時鐘使能以減少設計復雜度。

例1:采用時鐘使能

module clk_en(clk, rst_n, data_in, data_out);
input clk;
input rst_n;
input [7:0] data_in;
output [31:0] data_out;

reg [31:0] data_out;
reg [31:0] data_shift;
reg [1:0] cnt;
reg clken;

always @(posedge clk or negedge rst_n)
begin
   if (!rst_n)
      cnt <= 0;
   else
      cnt <= cnt + 1;
end

always @(posedge clk or negedge rst_n)
begin
   if (!rst_n)
      clken <= 0;
   else if (cnt == 2'b01)
      clken <= 1;
   else
      clken <= 0;
end

always @(posedge clk or negedge rst_n)
begin
   if (!rst_n)
      data_shift <= 0;
   else 
      data_shift <= {data_shift[23:0],data_in};
end

always @(posedge clk or negedge rst_n)
begin
   if (!rst_n)
      data_out <= 0;
   else if (clken == 1'b1)
      data_out <= data_shift;
end

endmodule

例2:采用分頻方法

module clk_en1(clk, rst_n, data_in, data_out);
input clk;
input rst_n;
input [7:0] data_in;
output [31:0] data_out;

reg [31:0] data_out;
reg [31:0] data_shift;
reg [1:0] cnt;
wire clken;

always @(posedge clk or negedge rst_n)
begin
   if (!rst_n)
      cnt <= 0;
   else
      cnt <= cnt + 1;
end

assign clken = cnt[1];

always @(posedge clk or negedge rst_n)
begin
   if (!rst_n)
      data_shift <= 0;
   else 
      data_shift <= {data_shift[23:0],data_in};
end

always @(posedge clken or negedge rst_n)
begin
   if (!rst_n)
      data_out <= 0;
   else 
      data_out <= data_shift;
end

endmodule

編輯:hfy

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1630

    文章

    21774

    瀏覽量

    604671
  • asic
    +關注

    關注

    34

    文章

    1205

    瀏覽量

    120600
  • Verilog
    +關注

    關注

    28

    文章

    1351

    瀏覽量

    110190
  • 時鐘
    +關注

    關注

    11

    文章

    1741

    瀏覽量

    131634
  • D觸發器
    +關注

    關注

    3

    文章

    164

    瀏覽量

    47972
收藏 人收藏

    評論

    相關推薦

    d與rs觸發器間功能的轉換

    與RS觸發器的基本概念 D觸發器 D觸發器是一種具有數據輸入(D)、
    的頭像 發表于 08-28 09:35 ?1188次閱讀

    d觸發器d鎖存的區別是什么

    D觸發器有兩個輸入端,一個是數據輸入端D,另一個是時鐘輸入端CLK;兩個輸出端,一個是Q輸出端,另一個是Q非輸出端。當CLK信號上升沿到來時,D
    的頭像 發表于 08-28 09:34 ?1640次閱讀

    d觸發器和jk觸發器的區別是什么

    ,可以存儲一位二進制信息。觸發器的輸出狀態取決于輸入信號和觸發器的當前狀態。觸發器的分類主要有D觸發器、JK
    的頭像 發表于 08-22 10:37 ?2268次閱讀

    t觸發器變為d觸發器的條件

    在數字電路設計中,觸發器是一種非常重要的存儲元件,用于存儲一位二進制信息。觸發器的種類很多,其中最為常見的有JK觸發器D觸發器和T
    的頭像 發表于 08-22 10:33 ?1673次閱讀

    d觸發器是電平觸發還是邊沿觸發

    D觸發器(Data Flip-Flop)是一種常見的數字邏輯電路元件,主要用于存儲一位二進制數據。D觸發器可以是電平觸發的,也可以是邊沿
    的頭像 發表于 08-22 10:17 ?1506次閱讀

    t觸發器d觸發器的區別和聯系

    在數字電路設計中,觸發器是一種非常重要的存儲元件,用于存儲一位二進制信息。觸發器的種類很多,其中最為常見的是T觸發器(Toggle Flip-Flop)和D
    的頭像 發表于 08-11 09:37 ?3363次閱讀

    主從觸發器和同步觸發器的區別在哪里

    定義: 主從觸發器(Master-Slave Trigger)是一種用于實現時鐘同步的觸發器結構,它由兩個觸發器組成,一個為主觸發器(Mas
    的頭像 發表于 08-11 09:21 ?878次閱讀

    時鐘使的八進制D觸發器SN74F377A數據表

    電子發燒友網站提供《帶時鐘使的八進制D觸發器SN74F377A數據表.pdf》資料免費下載
    發表于 05-22 10:12 ?0次下載
    帶<b class='flag-5'>時鐘</b><b class='flag-5'>使</b><b class='flag-5'>能</b>的八進制<b class='flag-5'>D</b>型<b class='flag-5'>觸發器</b>SN74F377A數據表

    時鐘使的八進制D觸發器SN54HCT377 SN74HCT377 數據表

    電子發燒友網站提供《帶時鐘使的八進制D觸發器SN54HCT377 SN74HCT377 數據表.pdf》資料免費下載
    發表于 05-22 10:03 ?0次下載
    帶<b class='flag-5'>時鐘</b><b class='flag-5'>使</b><b class='flag-5'>能</b>的八進制<b class='flag-5'>D</b>型<b class='flag-5'>觸發器</b>SN54HCT377 SN74HCT377 數據表

    時鐘使的八邊三格D觸發器數據表

    電子發燒友網站提供《帶時鐘使的八邊三格D觸發器數據表.pdf》資料免費下載
    發表于 05-15 10:42 ?0次下載
    帶<b class='flag-5'>時鐘</b><b class='flag-5'>使</b><b class='flag-5'>能</b>的八邊三格<b class='flag-5'>D</b>型<b class='flag-5'>觸發器</b>數據表

    具有時鐘使端的八路 D觸發器SNx4HC377數據表

    電子發燒友網站提供《具有時鐘使端的八路 D觸發器SNx4HC377數據表.pdf》資料免費下載
    發表于 05-06 11:30 ?0次下載
    具有<b class='flag-5'>時鐘</b><b class='flag-5'>使</b><b class='flag-5'>能</b>端的八路 <b class='flag-5'>D</b> 類<b class='flag-5'>觸發器</b>SNx4HC377數據表

    d觸發器的功能 d觸發器的狀態方程

    D觸發器是一種經典的時序邏輯電路,具有廣泛的應用領域。它的功能包括存儲和傳輸數據,以及在時鐘信號的作用下進行狀態轉換。本文將探討D觸發器的功
    的頭像 發表于 02-18 16:28 ?8513次閱讀

    t觸發器和jk觸發器的區別和聯系

    穩態觸發器,具有一個觸發輸入(T輸入)和一個時鐘輸入,以及一個輸出。T觸發器的輸出狀態取決于其當前狀態、觸發輸入和
    的頭像 發表于 02-06 14:04 ?6358次閱讀

    d觸發器的邏輯功能 d觸發器sd和rd作用

    了解一下D觸發器的邏輯功能。D觸發器是一種存儲設備,它可以存儲和傳輸一個二進制位數值。D觸發器
    的頭像 發表于 02-06 13:52 ?2.5w次閱讀

    d觸發器有幾個穩態 d觸發器和rs觸發器的區別

    D觸發器的穩態 D觸發器是數字電路中常用的一種存儲元件,它有兩種穩態,即低電平穩態和高電平穩態。當輸入D為低電平時,輸出Q保持為低電平;當輸
    的頭像 發表于 02-06 11:32 ?4179次閱讀
    主站蜘蛛池模板: 日本三级黄视频| 中文字幕在线一区二区三区 | 亚洲视频福利| 美女拍拍拍爽爽爽爽爽爽| 亚洲va久久久久综合| 天堂网www在线资源| 久久夜色精品国产尤物| 午夜男人网| 乱欲小说又粗又大| 精品久久久久久| 天天综合色天天综合网| 国产免费一级高清淫日本片| 日本www在线观看| 亚洲插插插| 天堂中文在线观看| 中文字幕一精品亚洲无线一区| 35pao免费视频| 日韩一级黄| 国产亚洲精品激情都市| 人人人人澡| 女人张腿让男桶免费视频网站| 亚洲 欧美 日韩 在线 中文字幕 | 国产伦精一区二区三区| 色姑娘天天干| 精品久草| 黄色网址 在线播放| 黄色永久免费| 高h细节肉爽文bl文| 视频1区| 69日本xxxxxxxxx96| 黄视频免费网站| 午夜特级毛片| 又黄又湿又爽吸乳视频| 国产精品久久久久久久久福利 | 亚洲一区二区三区精品视频| 一区二区三区无码高清视频| tueb69xxxxxhd日本| 亚洲精品国产自在久久出水| 91在线免费观看网站| 国产男女免费视频| 色偷偷中文字幕|