在线观看www成人影院-在线观看www日本免费网站-在线观看www视频-在线观看操-欧美18在线-欧美1级

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

Xilinx Vivado I/O延遲約束介紹

454398 ? 來源:FPGA開源工作室 ? 作者:FPGA開源工作室 ? 2020-11-29 10:01 ? 次閱讀

1 I/O延遲約束介紹

要在設計中精確建模外部時序,必須為輸入和輸出端口提供時序信息。Xilinx Vivado集成設計環境(IDE)僅在FPGA邊界內識別時序,因此必須使用以下命令指定超出這些邊界的延遲值:

1,set_input_delay

2,set_output_delay

2 輸入延遲(Input Delay)

set_input_delay命令指定輸入端口上相對于設計接口時鐘邊沿的輸入路徑延遲。

在考慮應用板時,輸入延遲表示以下各項之間的相位差:

A.數據從外部芯片通過電路板傳播到FPGA的輸入封裝引腳。

B.相關的板上參考時鐘

輸入延遲值可以是正的或負的,這取決于設備接口處的時鐘和數據相對相位。

雖然-clock選項在Synopsys設計約束(SDC)標準中是可選的,但它是Vivado IDE所必需的。相對時鐘可以是設計時鐘或虛擬時鐘。

使用set_input_delay命令選項

例1:此示例定義了相對于先前定義的sysClk的輸入延遲,用于最小和最大分析。

》 create_clock -name sysClk -period 10 [get_ports CLK0]

》 set_input_delay -clock sysClk 2 [get_ports DIN]

例2:此示例定義相對于先前定義的虛擬時鐘的輸入延遲。

》 create_clock -name clk_port_virt -period 10

》 set_input_delay -clock clk_port_virt 2 [get_ports DIN]

例3:此示例為min分析和最大分析相對于sysClk的定義了不同的輸入延遲值。

》 create_clock -name sysClk -period 10 [get_ports CLK0]

》 set_input_delay -clock sysClk -max 4 [get_ports DIN]

》 set_input_delay -clock sysClk -min 1 [get_ports DIN]

例4:要限制I / O端口之間的純組合路徑,必須在I / O端口上相對于先前定義的虛擬時鐘定義輸入和輸出延遲。以下示例在端口DIN和DOUT之間的組合路徑上設置5 ns(10 ns - 4 ns - 1 ns)約束:

》 create_clock -name sysClk -period 10 [get_ports CLK0]

》 set_input_delay -clock sysClk 4 [get_ports DIN]

》 set_output_delay -clock sysClk 1 [get_ports DOUT]

例5:此示例指定相對于DDR時鐘的輸入延遲值。

》 create_clock -name clk_ddr -period 6 [get_ports DDR_CLK_IN]

》 set_input_delay -clock clk_ddr -max 2.1 [get_ports DDR_IN]

》 set_input_delay -clock clk_ddr -max 1.9 [get_ports DDR_IN] -clock_fall -add_delay

》 set_input_delay -clock clk_ddr -min 0.9 [get_ports DDR_IN]

》 set_input_delay -clock clk_ddr -min 1.1 [get_ports DDR_IN] -clock_fall -add_delay

3 輸出延遲(Output Delay)

set_output_delay命令指定輸出端口相對于設計接口處的時鐘邊沿的輸出路徑延遲。

在考慮開發板時,此延遲表示以下兩者之間的相位差:

A.數據從FPGA的輸出封裝引腳傳播,通過電路板傳輸到另一個器件

B. 相對參考板時鐘。

輸出延遲值可以是正數或負數,具體取決于FPGA外部的時鐘和數據相對相位。

使用set_output_delay命令選項:

例1:此示例定義了相對于先前定義的sysClk的輸出延遲,用于最小和最大分析。

》 create_clock -name sysClk -period 10 [get_ports CLK0]

》 set_output_delay -clock sysClk 6 [get_ports DOUT]

例2:此示例定義相對于先前定義的虛擬時鐘的輸出延遲。

》 create_clock -name clk_port_virt -period 10

》 set_output_delay -clock clk_port_virt 6 [get_ports DOUT]

例3:此示例指定相對于DDR時鐘的輸出延遲值,其具有用于min(hold)和max(setup)分析的不同值。

》 create_clock -name clk_ddr -period 6 [get_ports DDR_CLK_IN]

》 set_output_delay -clock clk_ddr -max 2.1 [get_ports DDR_OUT]

》 set_output_delay -clock clk_ddr -max 1.9 [get_ports DDR_OUT] -clock_fall -add_delay

》 set_output_delay -clock clk_ddr -min 0.9 [get_ports DDR_OUT]

》 set_output_delay -clock clk_ddr -min 1.1 [get_ports DDR_OUT] -clock_fall -add_delay

編輯:hfy

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1629

    文章

    21736

    瀏覽量

    603385
  • Xilinx
    +關注

    關注

    71

    文章

    2167

    瀏覽量

    121410
  • 參考時鐘
    +關注

    關注

    0

    文章

    6

    瀏覽量

    2950
收藏 人收藏

    評論

    相關推薦

    FPGA設計中兩種IO約束:管腳約束延遲約束

    I/O約束 I/O約束是必須要用的約束,又包括管腳
    的頭像 發表于 10-30 16:08 ?1.5w次閱讀
    FPGA設計中兩種IO<b class='flag-5'>約束</b>:管腳<b class='flag-5'>約束</b>,<b class='flag-5'>延遲</b><b class='flag-5'>約束</b>

    Xilinx FPGA管腳物理約束介紹

    引言:本文我們簡單介紹Xilinx FPGA管腳物理約束,包括位置(管腳)約束和電氣約束
    發表于 07-25 10:13 ?4975次閱讀

    物理約束實踐:I/O約束

    I/O約束I/O Constraints)包括I/O
    的頭像 發表于 11-18 16:42 ?1119次閱讀
    物理<b class='flag-5'>約束</b>實踐:<b class='flag-5'>I</b>/<b class='flag-5'>O</b><b class='flag-5'>約束</b>

    vivado約束參考文檔

    Suite User Guide - I/O and Clock PlanningUG903- Vivado Design Suite User Guide - Using Constraints
    發表于 09-26 15:35

    如何使用TX_ClK_o作為參考時鐘來設置輸出延遲

    Xilinx工具:vivado在圖中,TX_CLK_i直接連接到TX_CLK_o。此外,TX_CLK_i將驅動輸出數據引腳(TX_DAT)。
    發表于 05-01 14:23

    Xilinx工具vivado使用約束命令時出現警告的解決辦法?

    Xilinx工具:vivado在該圖中,TX_CLK_i連接到pll_x1模塊的輸入時鐘。然后,pll_x1的輸出時鐘連接到ODDR。接下來,ODDR的輸出引腳將連接到I /
    發表于 05-04 08:04

    FPGA設計約束技巧之XDC約束I/O篇 (上)

    從UCF到XDC的轉換過程中,最具挑戰的可以說便是本文將要討論的I/O約束了。 I/O 約束的語
    發表于 11-17 18:54 ?1.3w次閱讀
    FPGA設計<b class='flag-5'>約束</b>技巧之XDC<b class='flag-5'>約束</b>之<b class='flag-5'>I</b>/<b class='flag-5'>O</b>篇 (上)

    FPGA設計約束技巧之XDC約束I/O篇(下)

    XDC中的I/O約束雖然形式簡單,但整體思路和約束方法卻與UCF大相徑庭。加之FPGA的應用特性決定了其在接口上有多種構建和實現方式,所以從UCF到XDC的轉換過程中,最具挑戰的可以說
    發表于 11-17 19:01 ?7430次閱讀
    FPGA設計<b class='flag-5'>約束</b>技巧之XDC<b class='flag-5'>約束</b>之<b class='flag-5'>I</b>/<b class='flag-5'>O</b>篇(下)

    FPGA約束的詳細介紹

    介紹FPGA約束原理,理解約束的目的為設計服務,是為了保證設計滿足時序要求,指導FPGA工具進行綜合和實現,約束Vivado等工具努力實現
    發表于 06-25 09:14 ?6717次閱讀

    如何將Altera的SDC約束轉換為Xilinx XDC約束

    了解如何將Altera的SDC約束轉換為Xilinx XDC約束,以及需要更改或修改哪些約束以使Altera的約束適用于
    的頭像 發表于 11-27 07:17 ?5134次閱讀

    PCB上走線的延遲約束

    作者:貓叔 延遲約束 對于延遲約束,相信很多同學是不怎么用的,主要可能就是不熟悉這個約束,也有的是嫌麻煩,因為有時還要計算PCB上的走線
    的頭像 發表于 11-14 10:34 ?3224次閱讀
    PCB上走線的<b class='flag-5'>延遲</b><b class='flag-5'>約束</b>

    Xilinx Vivado LOCK_PINS屬性介紹

    LOCK_PINS 是 Xilinx Vivado 做物理約束的屬性之一。用來將LUT的邏輯輸入(I0,,I1,
    的頭像 發表于 01-11 10:52 ?1153次閱讀

    XDC約束技巧之I/O篇(上)

    《XDC 約束技巧之時鐘篇》中曾對 I/O 約束做過簡要概括,相比較而言,XDC 中的 I/O
    的頭像 發表于 04-06 09:53 ?1364次閱讀

    XDC約束技巧之I/O篇(下)

    繼《XDC 約束技巧之 I/O 篇(上)》詳細描述了如何設置 Input 接口 約束后,我們接著來聊聊怎樣設置 Output 接口約束,并分
    的頭像 發表于 04-10 11:00 ?1136次閱讀

    如何在Vivado中添加時序約束呢?

    今天介紹一下,如何在Vivado中添加時序約束Vivado添加約束的方法有3種:xdc文件、時序約束
    的頭像 發表于 06-26 15:21 ?4160次閱讀
    如何在<b class='flag-5'>Vivado</b>中添加時序<b class='flag-5'>約束</b>呢?
    主站蜘蛛池模板: 伊人网综合在线观看| 手机看片国产免费久久网| 色综合色综合色综合色综合网| 亚洲综合精品成人啪啪| 最好看免费中文字幕2018视频| 日本a级片免费| 夜夜操国产| 69日本xxxxxxxxx19| 特黄特色大片免费视频播放 | 最好看的2019中文字幕免费高清 | 国产在线h视频| 婷婷六月天激情| www毛片| 性xxxx奶大欧美高清| 特级一级毛片| 夜夜骑日日操| 在线观看国产一级强片| 人人草人人澡| 日日干夜夜骑| 夜色伊人| 丁香六月在线观看| 色5566| 三级在线看| 日日草夜夜草| 亚洲综合激情六月婷婷在线观看| 狠狠色丁香婷婷综合| 在线观看播放视频www| 色97色| 天天干天天干天天天天天天爽| 美女露出扒开尿口让男人桶| 久久青草91免费观看| 日本黄色生活片| 天天干夜夜拍| 国产精品久久久久久久人热 | 午夜欧美电影| 伊人yinren6综合网色狠狠| 求av网站| 国产精品一区二区综合| 中文一区| 国产一二三区在线| 亚洲一区二区影视|