在线观看www成人影院-在线观看www日本免费网站-在线观看www视频-在线观看操-欧美18在线-欧美1级

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

Modelsim uvm庫編譯及執行

454398 ? 來源:AI加速 ? 作者:AI加速 ? 2020-12-01 11:25 ? 次閱讀

01. 下載modelsim軟件

下載modelsim,這里用的是modelsim10.4版本。鏈接:https://pan.baidu.com/s/1qSZ_X9UhrII3E2N6-yOsuw

提取碼:6aof

完成下載和安裝,在安裝文件夾中可以看到uvm-1.1d,這是我們使用的uvm版本。在uvm-1.1d/win64下有uvm_dpi.dll文件,這是已經編譯過的uvm庫。

02. 編寫文件

以“hello,world”為例,編寫uvm源文件如下:

`timescale 1ns/1ps
include
"uvm_macros.svh"
import uvm_pkg::*;
module hello;
initial begin
`uvm_info("info", "Hello world!!!", UVM_LOW)
end
endmodule

然后編寫一個windows下的執行程序:run.bat。

其中只有一句話:

這個就是運行modelsim腳本文件sim.do。

Sim.do文件內容如下:

第一句話是設置uvm環境變量,指定uvm的dpi位置。

第二句話是創建work工作目錄。

第三句話是編譯源文件,并且通過-L指定幾個編譯庫。

第三句是執行仿真,調用uvm庫uvm_dpi。

第四句話是運行多長時間:100ns。

03. 執行

雙擊run.bat,然后會調用modelsim進行編譯和仿真。


編輯:hfy

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • ModelSim
    +關注

    關注

    5

    文章

    174

    瀏覽量

    47317
  • UVM
    UVM
    +關注

    關注

    0

    文章

    182

    瀏覽量

    19197
收藏 人收藏

    評論

    相關推薦

    使用modelsim時的問題分析

    仿真對于FPGA設計來說至關重要,我們經常使用modelsim來進行功能仿真或者時序仿真,這樣就需要將modelsim和設計軟件(quartus ii)聯系起來,下面是設計者在使用modelsim時可能會遇到的問題。
    的頭像 發表于 10-24 18:15 ?323次閱讀
    使用<b class='flag-5'>modelsim</b>時的問題分析

    linux開發板如何編譯curl

    在Linux開發板上編譯 curl 通常涉及到幾個步驟,包括準備開發環境、下載源代碼、配置編譯選項以及執行編譯和安裝過程。以下是一個基本的
    的頭像 發表于 08-30 15:33 ?726次閱讀

    ElfBoard技術貼|如何將libwebsockets編譯為x86架構

    和高效。通過在主機環境中編譯運用x86架構下的libwebsockets,可以充分利用主機卓越的計算性能與豐富的調試資源,顯著提升開發及調試工作的效率與便捷性。
    的頭像 發表于 07-10 09:38 ?1263次閱讀
    ElfBoard技術貼|如何將libwebsockets<b class='flag-5'>庫</b><b class='flag-5'>編譯</b>為x86架構

    如何在ModelSim中添加Xilinx仿真

    文件夾: 8、右鍵打開modelsim目錄下的modelsim.ini文件,先將其“只讀”屬性去掉。然后用記事本打開。在[Library]下面添加如下代碼,即之前編譯好的Xilinx
    發表于 07-03 18:16

    使用idf.py命令方式編譯,怎樣才能編譯arduino的,并且在項目中可調用?

    ESP-IDF添加arduino作為component的方式創建工程,sketch.cpp中的arduino代碼經測試可以正確執行。 工程中需要使用arduno的simpleFOC,并且
    發表于 06-13 06:56

    ELF 1技術貼|如何在Ubuntu中編譯OpenCV

    的OpenCV,利用主機的強大計算性能和豐富的調試工具,可以加速開發進程并簡化調試環節。下面就跟小伙伴詳細講述如何在Ubuntu環境下將OpenCV編譯為X86
    的頭像 發表于 05-31 16:41 ?1184次閱讀
    ELF 1技術貼|如何在Ubuntu中<b class='flag-5'>編譯</b>OpenCV<b class='flag-5'>庫</b>

    HighTec Tricore編譯速度優化策略探討

    HighTec在編譯時默認是根據工程源文件文件架構首先生成makefile文件,然后執行“make makefile”指令來編譯工程。
    的頭像 發表于 04-10 12:44 ?2231次閱讀
    HighTec Tricore<b class='flag-5'>編譯</b>速度優化策略探討

    ISE 關聯 Modelsim 詳細操作

    Compilation Wizard”,注意,64位系統中默認安裝了64位和32位的ISE,如果想要用32位的ISE,那么就選擇32位的編譯工具,而且,Modelsim也得安裝32位的版本。 打開工具后
    發表于 03-22 18:55

    最實用的Modelsim使用教程

    。選定了器件分配引腳后在做后仿真。 3.3 Modelsim仿真的基本步驟 Modelsim的仿真主要有以下幾個步驟:(1)建立并映射到物理目錄;(2)
    發表于 03-19 16:40

    fpga驗證和uvm驗證的區別

    FPGA驗證和UVM驗證在芯片設計和驗證過程中都扮演著重要的角色,但它們之間存在明顯的區別。
    的頭像 發表于 03-15 15:00 ?1703次閱讀

    ISE與Modelsim關聯設置的詳細操作步驟

    最后,在output directory for complied libraries下面填入輸出已編譯的路徑,默認也可。文件夾的名字以及路徑不能有中文。
    的頭像 發表于 03-13 10:13 ?1950次閱讀
    ISE與<b class='flag-5'>Modelsim</b>關聯設置的詳細操作步驟

    如何將預編譯靜態集成到PSoC? Creator中?

    BSEC API 提供了要包含的頭文件以及要鏈接的預編譯靜態(適用于Arm? Cortex? M4 以及 M0+)。 如何在PSoC? Creator 中執行此操作? 謝謝
    發表于 03-06 08:07

    uvm1.1升級為uvm1.2 uvm_report_server報錯是何原因?

    ISP算法仿真中,小編會用reference model調用DPI接口用C++ 算法實現pixel算法處理,然后和DUT算法處理輸出的pixel值進行比較,比較時候發現報錯,報錯代碼如下,原因是小編把uvm1.1升級為uvm1.2了。
    的頭像 發表于 03-04 14:18 ?842次閱讀
    <b class='flag-5'>uvm</b>1.1升級為<b class='flag-5'>uvm</b>1.2 <b class='flag-5'>uvm</b>_report_server報錯是何原因?

    UVM手把手教程系列(二)Phase機制簡單介紹

    UVM中的phase,按照其是否消耗仿真時間($time打印出的時間)的特性,可以分成兩大類
    的頭像 發表于 02-29 09:26 ?1479次閱讀
    <b class='flag-5'>UVM</b>手把手教程系列(二)Phase機制簡單介紹

    UVM手把手教程系列(一)UVM驗證平臺基礎知識介紹

    先拋開UVM,回想一下我們在平時寫完程序后,是不是肯定需要灌一個激勵給DUT,然后再從DUT獲取結果,并跟一個參考模塊進行對比,檢查結果是否正確。就像下面這個圖:
    的頭像 發表于 02-27 09:08 ?6218次閱讀
    <b class='flag-5'>UVM</b>手把手教程系列(一)<b class='flag-5'>UVM</b>驗證平臺基礎知識介紹
    主站蜘蛛池模板: 欧美操穴| 亚洲1区2区3区4区| 绝色村妇的泛滥春情| 欧美成人久久| 亚洲九色| 一区二区三区四区在线不卡高清| 色之综综| 97玖玖| 久久99综合| 日本久久高清视频| 香蕉视频啪啪| 久久亚洲精品国产精品婷婷| 精品二区| 777午夜精品免费播放| 福利社看片| h网站免费在线观看| 国产免费亚洲| 五月香婷婷| 免费国产成高清人在线视频| 国产吧在线| 奇米影视777四色米奇影院| 天天综合天天综合色在线| 美女被免费网站视频在线| 免费看久久| 欧美另类69| 3p高h文| 最刺激黄a大片免费观看下截| 亚洲一区在线视频| 欧美性黑人极品1819hd| 中文字幕一区视频| 在线电影你懂得| 伊人成人在线| 2021天天躁狠狠燥| 色偷偷视频| 国产一级特黄aa级特黄裸毛片| 狠狠的日视频| 很狠操| 天天艹夜夜艹| h国产| 四虎在线最新永久免费| 日韩一区二区视频|