下載modelsim,這里用的是modelsim10.4版本。鏈接:https://pan.baidu.com/s/1qSZ_X9UhrII3E2N6-yOsuw
提取碼:6aof
完成下載和安裝,在安裝文件夾中可以看到uvm-1.1d,這是我們使用的uvm版本。在uvm-1.1d/win64下有uvm_dpi.dll文件,這是已經編譯過的uvm庫。
02. 編寫文件
以“hello,world”為例,編寫uvm源文件如下:
`timescale 1ns/1ps
include
"uvm_macros.svh"
import uvm_pkg::*;
module hello;
initial begin
`uvm_info("info", "Hello world!!!", UVM_LOW)
end
endmodule
然后編寫一個windows下的執行程序:run.bat。
其中只有一句話:
這個就是運行modelsim腳本文件sim.do。
Sim.do文件內容如下:
第一句話是設置uvm環境變量,指定uvm的dpi位置。
第二句話是創建work工作目錄。
第三句話是編譯源文件,并且通過-L指定幾個編譯庫。
第三句是執行仿真,調用uvm庫uvm_dpi。
第四句話是運行多長時間:100ns。
03. 執行
雙擊run.bat,然后會調用modelsim進行編譯和仿真。
編輯:hfy
-
ModelSim
+關注
關注
5文章
174瀏覽量
47317 -
UVM
+關注
關注
0文章
182瀏覽量
19197
發布評論請先 登錄
相關推薦
評論