在线观看www成人影院-在线观看www日本免费网站-在线观看www视频-在线观看操-欧美18在线-欧美1级

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

剖析具有挑戰(zhàn)性的設(shè)計時鐘方案

OpenFPGA ? 來源:OpenFPGA ? 作者:OpenFPGA ? 2021-06-17 16:34 ? 次閱讀

時鐘設(shè)計方案在復(fù)雜的FPGA設(shè)計中,設(shè)計時鐘方案是一項具有挑戰(zhàn)性的任務(wù)。設(shè)計者需要很好地掌握目標器件所能提供的時鐘資源及它們的限制,需要了解不同設(shè)計技術(shù)之間的權(quán)衡,并且需要很好地掌握一系列設(shè)計實踐知識。

不正確的設(shè)計或次優(yōu)的時鐘方案可能會導(dǎo)致在最好情況下較差的設(shè)計性能,或者在最壞情況下的隨機和難以查找的錯誤。FPGA時鐘資源指目標FPGA中大量與時鐘有關(guān)的不同資源,如時鐘類型(局部的和全局的)、頻率限制和不同時鐘管理器的抖動特性,以及能用于單個時鐘域的時鐘最大數(shù)量。本文介紹了時鐘設(shè)計方案中的每個部分,并推薦了一些設(shè)計方法。

內(nèi)部產(chǎn)生的時鐘是組合邏輯或寄存器的輸出,如圖1所示。

fcdcedaa-ca99-11eb-9e57-12bb97331649.png

組合邏輯產(chǎn)生的時鐘可能有毛刺,會被錯誤地當成有效時鐘邊沿,在設(shè)計中會導(dǎo)致功能錯誤。因此,不要使用組合邏輯的輸出作為時鐘。內(nèi)部產(chǎn)生的時鐘使用通用布線資源。因此,與專用時鐘布線相比延遲較長。其后果是時鐘偏移增加,滿足時序的過程更加困難。如果大量的邏輯使用了該內(nèi)部時鐘,這個問題尤為突出。公眾號:OpenFPGA作為一般規(guī)則,盡量避免使用內(nèi)部產(chǎn)生的時鐘,盡可能使用專用時鐘資源。

除了一些特殊電路[如雙倍數(shù)據(jù)速率(DDR)的數(shù)據(jù)捕獲]之外,寄存數(shù)據(jù)通??偸鞘褂脮r鐘的上升沿或下降沿。使用兩個邊沿帶來的問題是由于時鐘占空比可能并不總是50%,這會對電路的正常工作產(chǎn)生影響。

建議在頻率高的情況下使用差分時鐘。通常認為頻率高于100MHz以上屬于高頻。差分時鐘相比單端時鐘的主要優(yōu)勢是共模噪聲抑制,因此抗噪聲性能更好。具有PECL、LVPECL和LVDS信號電平的差分時鐘是高速邏輯下時鐘的首選。Xilinx FPGA提供了一些用于差分時鐘的專用原語:IBUFDS、IBUFGDS、IBUFGDS_DIFF、OBUFDS、0BUFTDS等(見圖2)。

fd100be0-ca99-11eb-9e57-12bb97331649.png

時鐘門控是設(shè)計中通過使用控制信號,禁止或允許時鐘輸入到寄存器和其他同步元件上的一種方法。它能有效降低功耗,因此被廣泛應(yīng)用于ASIC設(shè)計中。然而,在FPGA設(shè)計中應(yīng)盡量避免使用門控時鐘。

不建議將時鐘信號作為通用邏輯的控制、復(fù)位或數(shù)據(jù)輸入。下面是這類電路的例子。

module clock_schemes(input clk1,clk2,clk3,clk4,clk5,input data_in,output reg data_out1,data_out2,data_out3,data_out4,data_out5,data_out6);wire data_from_clock, reset_from_clock, control_from_clock;/ / 時鐘被用做數(shù)據(jù)輸入assign data_from_clock = clk1;always @(posedge clkl) data out1 《= ~data out1;

always @(posedge clk2) data out2 《= ~data out2 & data_from_clock;

/ / 時鐘被用做復(fù)位輸入assign reset_from_clock = clk3;always @(posedge clk3) data out3 《= ~data out2;always @(posedge clk4, posedge reset_from_clock) if (reset_from_clock) data_out4 《= 0; else data out4 《= data in;/ / 時鐘被用做控制assign control_from_clock = clk5;always @(posedge clk5) data out5 《= ~data out5;always @(*) data_out6 = control_from_clock ? data_in : data_out6;endmodule // clock schemes

許多與FPGA接口的外設(shè)都使用與數(shù)據(jù)一樣的源同步時鐘。如果接口在高速下工作,可能需要對時鐘邊沿進行校準,以便在數(shù)據(jù)窗口的中間捕獲數(shù)據(jù)。為實現(xiàn)動態(tài)校準Xilinx MMCM原語提供了動態(tài)重新配置端口DRP),允許時鐘的可編程相位偏移。圖3說明了來自MMCM的時鐘被移位后,使時鐘的上升沿在窗口的中間位置采樣數(shù)據(jù)。

fd1e4714-ca99-11eb-9e57-12bb97331649.png

當同一個邏輯有來自不同時鐘源的時鐘時,需要在設(shè)計中對這些時鐘源進行時鐘復(fù)用(見圖4)。一個例子是使用2.5MHz、25MHz或125MHz時鐘的以太網(wǎng)MAC,選取哪種時鐘取決于10Mbps、100Mbps或1Gbps的協(xié)商速度(negotiated speed)。另一個例子是電源內(nèi)置自測(BIST)電路,在正常工作期間,使用了來自同一個時鐘源的不同時鐘信號。公眾號:OpenFPGA

建議使用專用的時鐘資源實現(xiàn)時鐘復(fù)用,確保輸人和輸出時鐘使用專用時鐘線,而不是通用邏輯。參與復(fù)用的時鐘頻率可能彼此并不相關(guān)。使用組合邏輯實現(xiàn)的多路復(fù)用器在切換時會在時鐘線上產(chǎn)生毛刺,從而危害整個系統(tǒng)。這些毛刺會被一些寄存器當成有效時鐘邊沿,而被另一些寄存器忽略。

Xilinx提供了能在兩個全局時鐘源之間進行復(fù)用的BUFGMUX原語。它還確保了當輸人時鐘切換后不會產(chǎn)生毛刺。時鐘復(fù)用需要對復(fù)用器中所有從輸入到輸出的時鐘路徑進行細致的時序約束

fd4dc174-ca99-11eb-9e57-12bb97331649.png

檢測時鐘缺失 (absence)的一種方法,是使用其他更高速的時鐘對其進行過采樣,缺點是時鐘可能沒有可用的高速時鐘。還有一種方法是使用 Xilinx MMCM 原語的 locked 輸出,如圖 5 所示。

fd62738a-ca99-11eb-9e57-12bb97331649.png

編輯:jq

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • FPGA
    +關(guān)注

    關(guān)注

    1629

    文章

    21736

    瀏覽量

    603421
  • 寄存器
    +關(guān)注

    關(guān)注

    31

    文章

    5343

    瀏覽量

    120377
  • 數(shù)據(jù)
    +關(guān)注

    關(guān)注

    8

    文章

    7030

    瀏覽量

    89038
  • Xilinx
    +關(guān)注

    關(guān)注

    71

    文章

    2167

    瀏覽量

    121430

原文標題:FPGA時鐘設(shè)計方案

文章出處:【微信號:Open_FPGA,微信公眾號:OpenFPGA】歡迎添加關(guān)注!文章轉(zhuǎn)載請注明出處。

收藏 人收藏

    評論

    相關(guān)推薦

    2024年ICPC與華為挑戰(zhàn)賽冠軍杯圓滿落幕

    近日,2024年ICPC&華為挑戰(zhàn)賽冠軍杯在深圳圓滿落幕。該活動由華為和ICPC聯(lián)合舉辦,匯聚全球頂尖的編程人才,共同探討和解決具有挑戰(zhàn)性的工業(yè)界真實問題,并對未來技術(shù)發(fā)展趨勢及關(guān)鍵挑戰(zhàn)
    的頭像 發(fā)表于 10-27 16:00 ?773次閱讀

    邊緣計算的技術(shù)挑戰(zhàn)與解決方案

    邊緣計算作為一種新型的計算架構(gòu),在帶來諸多優(yōu)勢的同時,也面臨著一些技術(shù)挑戰(zhàn)。以下是對邊緣計算的技術(shù)挑戰(zhàn)及相應(yīng)解決方案的分析: 一、技術(shù)挑戰(zhàn) 資源受限 邊緣設(shè)備通常
    的頭像 發(fā)表于 10-24 14:36 ?502次閱讀

    【智能生活新寵】:WTR096芯片語音時鐘方案,錄音播放+時鐘提醒+計時時鐘功能一體,一鍵掌控您的日常節(jié)奏

    的健康與生活。我司推出的WTR096芯片,集錄放音、播放、計時時鐘功能于一身,專為這類產(chǎn)品量身打造,能夠為者智能語音時鐘提供可靠且優(yōu)質(zhì)的解決方案。我們先了解一下這些
    的頭像 發(fā)表于 08-30 13:21 ?366次閱讀
    【智能生活新寵】:WTR096芯片語音<b class='flag-5'>時鐘</b><b class='flag-5'>方案</b>,錄音播放+<b class='flag-5'>時鐘</b>提醒+<b class='flag-5'>計時時鐘</b>功能一體,一鍵掌控您的日常節(jié)奏

    康謀分享 | 在基于場景的AD/ADAS驗證過程中,識別挑戰(zhàn)性場景!

    基于場景的驗證是AD/ADAS系統(tǒng)開發(fā)過程中的重要步驟,然而面對海量駕駛記錄數(shù)據(jù)時,如何實現(xiàn)自動且高效地識別、分類和提取駕駛記錄中的挑戰(zhàn)性場景?本文康謀為您介紹IVEX軟件識別挑戰(zhàn)性場景并進行數(shù)據(jù)分析的強大功能。
    的頭像 發(fā)表于 08-28 10:16 ?1078次閱讀
    康謀分享 | 在基于場景的AD/ADAS驗證過程中,識別<b class='flag-5'>挑戰(zhàn)性</b>場景!

    CC2340系統(tǒng)降低成本的方案剖析

    電子發(fā)燒友網(wǎng)站提供《CC2340系統(tǒng)降低成本的方案剖析.pdf》資料免費下載
    發(fā)表于 08-27 09:43 ?0次下載
    CC2340系統(tǒng)降低成本的<b class='flag-5'>方案</b><b class='flag-5'>剖析</b>

    FPGA設(shè)計面臨的挑戰(zhàn)和解決方案

    設(shè)計可靠的可編程邏輯門陣列(FPGA)對于不容故障的系統(tǒng)來說是一項具有挑戰(zhàn)性的任務(wù)。本文介紹FPGA設(shè)計的復(fù)雜,重點關(guān)注如何在提高可靠的同時管理隨之帶來的功耗增加、設(shè)計復(fù)雜
    的頭像 發(fā)表于 08-06 11:33 ?465次閱讀

    FPGA的sata接口設(shè)計時需要注意哪些問題

    ,對時鐘的穩(wěn)定性和精度要求較高。設(shè)計時需要仔細配置時鐘源,確保時鐘信號的穩(wěn)定性和準確。對于SATA III接口,串行
    發(fā)表于 05-27 16:20

    具有密集交聯(lián)結(jié)構(gòu)的明膠基水凝膠電解質(zhì)(ODGelMA)

    目前,開發(fā)一種能夠成功實現(xiàn)兼具機械強度、離子電導(dǎo)率和界面適應(yīng)的綜合水凝膠電解質(zhì)基質(zhì)仍然具有挑戰(zhàn)性。
    的頭像 發(fā)表于 05-22 09:17 ?755次閱讀
    <b class='flag-5'>具有</b>密集交聯(lián)結(jié)構(gòu)的明膠基水凝膠電解質(zhì)(ODGelMA)

    使用STM8L做內(nèi)部RTC做時鐘,時鐘計時計到了超過24時怎么解決?

    我在使用STM8L做內(nèi)部RTC做時鐘的時候,發(fā)現(xiàn)了一個問題。時鐘計時計到了超過24時。昨天下午我設(shè)置了一個初始時間,今天早上8:19的時候讀取STM8內(nèi)部RTC時間顯示的是 2018.8.2932:19:05時間
    發(fā)表于 04-19 06:59

    EPSON 的 RX8010SJ 為安防產(chǎn)品提供高可靠低功耗的實時時鐘模塊解決方案

    EPSON作為實時時鐘解決方案提供商中的佼佼者,為了適應(yīng)市場需求,推出實時時鐘模塊RX8010SJ。該款實時時鐘模塊除了擁有超高性價比以外,還擁有不俗的整體性能,同時集成了日歷功能可用
    發(fā)表于 04-15 16:04 ?0次下載

    單片機開發(fā)好學(xué)嗎?學(xué)習(xí)中有哪些樂趣與挑戰(zhàn)?

    單片機編程究竟是不是好學(xué)的問題。先來說一說單片機編程的挑戰(zhàn)性,對于初學(xué)者而言,單片機編程可能具有一定的挑戰(zhàn)性,因為它需要你具備以下幾點能力;單片機開發(fā)學(xué)習(xí)中的樂趣與
    的頭像 發(fā)表于 03-28 08:04 ?1948次閱讀
    單片機開發(fā)好學(xué)嗎?學(xué)習(xí)中有哪些樂趣與<b class='flag-5'>挑戰(zhàn)</b>?

    硬件工程師在可靠設(shè)計中所面臨的挑戰(zhàn)及解決之道

    Course硬件電路可靠設(shè)計HardwareEngineer硬件電路工程師在進行可靠設(shè)計時,常常會陷入一系列煩惱之中。這些挑戰(zhàn)包括成本、時間壓力、可靠
    的頭像 發(fā)表于 03-23 08:16 ?994次閱讀
    硬件工程師在可靠<b class='flag-5'>性</b>設(shè)計中所面臨的<b class='flag-5'>挑戰(zhàn)</b>及解決之道

    時鐘同步系統(tǒng)在網(wǎng)絡(luò)通信中的重要及優(yōu)勢

    的發(fā)送和接收都需要使用時間戳來標識時間。如果網(wǎng)絡(luò)中不同設(shè)備或系統(tǒng)的時鐘不同步,則會導(dǎo)致數(shù)據(jù)包的時間戳不一致,從而導(dǎo)致數(shù)據(jù)傳輸錯誤。 提高網(wǎng)絡(luò)通信的可靠 在網(wǎng)絡(luò)通信中,許多協(xié)議都需要使用時間戳來進行計時和控制。如
    的頭像 發(fā)表于 03-19 10:35 ?1268次閱讀
    <b class='flag-5'>時鐘</b>同步系統(tǒng)在網(wǎng)絡(luò)通信中的重要<b class='flag-5'>性</b>及優(yōu)勢

    探討制造中差異變量的概念和重要

    制造高端電子產(chǎn)品是非常復(fù)雜精密的過程。制作用于演示或原型的一次樣品可能具有挑戰(zhàn)性,但真正的挑戰(zhàn)在于如何以盈利的方式持續(xù)生產(chǎn)。
    的頭像 發(fā)表于 03-13 10:40 ?428次閱讀
    探討制造中差異變量的概念和重要<b class='flag-5'>性</b>

    FPGA時鐘內(nèi)部設(shè)計方案

    時鐘設(shè)計方案 在復(fù)雜的FPGA設(shè)計中,設(shè)計時鐘方案是一項具有挑戰(zhàn)性的任務(wù)。設(shè)計者需要很好地掌
    發(fā)表于 01-22 09:30 ?634次閱讀
    FPGA<b class='flag-5'>時鐘</b>內(nèi)部設(shè)計<b class='flag-5'>方案</b>
    主站蜘蛛池模板: 成年毛片| 久久福利影视| 奇米777me| 亚洲淫视频| 九色综合久久综合欧美97| 涩涩涩综合在线亚洲第一| 夜色成人网| 日本特黄特色大片免费看| 亚洲日本高清| 在线免费观看一区二区三区| 天堂精品在线| 97av视频在线播放| 黄色男人的天堂| 色妞网站| 天天宗合网| 美女扒开尿口给男人看的让| 欧美xxxx色视频在线观看| 天天射天天爱天天干| 九九热re| 国产在线美女| 日本三人交xxx69视频| 色婷婷视频| 我色综合| 高h细节肉爽文bl1v1| 国产一区精品视频| 2017天天天天做夜夜夜做| 四虎影视永久地址| 亚洲理论视频| 很黄很污的视频网站| 好色999| 视频h在线观看| 日本免费精品视频| 久久女同| 四虎永久免费影院在线| 天天操综合视频| 99热久久久久久久免费观看| 国产精品久久永久免费| 中文字幕一区二区三区不卡| 免费看污黄视频软件| www.黄黄黄| 日本a级片免费|