在线观看www成人影院-在线观看www日本免费网站-在线观看www视频-在线观看操-欧美18在线-欧美1级

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評(píng)論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會(huì)員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識(shí)你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

關(guān)于VHDL編碼風(fēng)格

FPGA之家 ? 來源:FPGA之家 ? 作者:FPGA之家 ? 2022-07-04 09:33 ? 次閱讀

挺久沒有更新,今天更新一篇小文章。最近正在整理一個(gè)SDRAM控制器教程(VHDL),現(xiàn)在更新的小文章是想為后續(xù)的SDRAM教程以及其它比較大的教程做鋪墊。本文主要講關(guān)于VHDL編碼風(fēng)格(Verilog也可以用同樣的思想),這篇文章的核心思想就是:設(shè)計(jì)中的所有狀態(tài)都應(yīng)該被明確聲明在寄存器中。

這句話什么意思先不談,直接上兩段代碼,第一段如下:

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use work.ff.all; -- 一些常用的元件包,這里面是可以自己寫的

-- 這篇文章主要就調(diào)用了基本的D觸發(fā)器,里面還有什么可以先忽略

entity Bad_Counter is generic( n: integer := 4 ); port( clk, rst: in std_logic;

output: buffer std_logic_vector(n-1 downto 0) );end Bad_Counter;

architecture impl of Bad_Counter issignal nxt: std_logic_vector(n-1 downto 0);begin process(clk) begin

if rising_edge(clk) then

if rst then

output 《= (others =》 ‘0’);

else

output 《= output + 1;

end if;

end if; end process;end impl;

一個(gè)4位計(jì)數(shù)器,能實(shí)現(xiàn)設(shè)計(jì)的功能,但其中output 《= output + 1存在一點(diǎn)問題,這種寫法非常C語言化,這也是很多人習(xí)慣的寫法。為什么說這種寫法不好,因?yàn)樗煜水?dāng)前狀態(tài)和下一狀態(tài)。先不多解釋,看下一段代碼如下:

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use work.ff.all; -- 一些常用的元件包,這里面是可以自己寫的

-- 這篇文章主要就調(diào)用了基本的D觸發(fā)器,里面還有什么可以先忽略

entity Good_Counter is generic( n: integer := 4 ); port( clk, rst: in std_logic;

output: buffer std_logic_vector(n-1 downto 0) );end Good_Counter;

architecture impl of Good_Counter is signal nxt: std_logic_vector(n-1 downto 0);begin nxt 《= (others=》‘0’) when rst else output+1;

count: vDFF generic map(n) port map(clk, nxt, output);end impl;

這段代碼同樣的是4位計(jì)數(shù)器,為什么用這兩段代碼做比較,非常典型,第一段代碼的思想就是C語言的思想來寫的,很多人可能沒有注意自己在寫“數(shù)字電路”,也沒有特別關(guān)注寫出來的代碼綜合出來是什么樣子。第二段代碼明確表明nxt是下一狀態(tài),并且nxt是通過當(dāng)前狀態(tài)output得到的,這很重要,與此同時(shí)還調(diào)用了一個(gè)D觸發(fā)器元件,這個(gè)D觸發(fā)器為什么用,之前在異步FIFO(二)中有談到:在實(shí)現(xiàn)所有的狀態(tài)變量都應(yīng)該被明確的聲明位D觸發(fā)器,不要讓編譯器去推斷應(yīng)該用什么觸發(fā)器。

講到這里,可能還是不懂這兩份代碼有什么具體的差別,我做了一個(gè)實(shí)驗(yàn),把兩份代碼都綜合了一遍,使用了兩個(gè)不同的版本,Quartus20.3和Quartus13.1(不同版本的軟件,無論是效率還是開發(fā)便捷程度還是有一定差別的)

先看Quartus13.1綜合出的兩份原理圖

940b584a-fb2e-11ec-ba43-dac502259ad0.png

這幅原理圖是第一份代碼綜合出來了,大概看一下沒什么問題,代碼也是這樣寫的。

再看第二份代碼綜合出來的原理圖

9425db3e-fb2e-11ec-ba43-dac502259ad0.png

區(qū)別來了,很明顯,第一份代碼因?yàn)橛胦utput <= output + 1的原因,左右兩端使用了相同的信號(hào),混淆當(dāng)前狀態(tài)和下一狀態(tài),下一狀態(tài)被隱藏起來。但第二份代碼綜合出來的很明顯,nxt是下一狀態(tài),并且nxt是通過當(dāng)前狀態(tài)output得到的。當(dāng)然還要個(gè)比較好的地方,第二份代碼直接調(diào)用D觸發(fā)器元件(在package里面寫了),告訴編譯器狀態(tài)變量要聲明為D觸發(fā)器,而不是讓編譯器自己判斷(盡管它可以)。

再看Quartus20.3綜合出來的原理圖

94400d92-fb2e-11ec-ba43-dac502259ad0.png

這個(gè)原理圖是第一份代碼Bad_Counter 綜合出來的,很顯然現(xiàn)在的EDA真行,可以把不那么優(yōu)秀的代碼綜合出優(yōu)秀代碼才能綜合出的原理圖了。當(dāng)然這是很簡單代碼的情況下。

945799f8-fb2e-11ec-ba43-dac502259ad0.png

這個(gè)原理圖是第二份代碼Good_Counter綜合出來的,除了下一狀態(tài)的命名和位數(shù)區(qū)間不一樣外,其它的兩個(gè)代碼綜合出來的沒有區(qū)別!

小結(jié):從上面的四幅原理圖看,盡管隨著EDA的發(fā)展讓不那么優(yōu)秀的代碼綜合出和優(yōu)秀代碼一樣的原理圖,但良好編碼風(fēng)格的代碼仍然非常必要,即使是13.1和20.3巨大版本差異的情況下,良好編碼風(fēng)格的代碼綜合出來的原理圖也是一樣的。但在代碼風(fēng)格不好的情況下,13.1和20.3卻有比較大的差距。人作為設(shè)計(jì)的主體,不能完全依靠EDA的優(yōu)化,編譯器也不是萬能的,有些其它地方未必會(huì)優(yōu)化的那么好,在大型復(fù)雜項(xiàng)目中不太好的編碼風(fēng)格可能編譯器也未必能夠給出比較好的優(yōu)化,好的編碼風(fēng)格可以讓我們更好的理解一些底層的設(shè)計(jì),也能知道代碼會(huì)如何綜合。更重要的是需要知道自己數(shù)字設(shè)計(jì)師!用數(shù)字的思想去設(shè)計(jì)FPGA。這些只是自己的意見,大家可以做一個(gè)參考,有不對(duì)的地方也歡迎批評(píng)指正。

審核編輯 :李倩

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請(qǐng)聯(lián)系本站處理。 舉報(bào)投訴
  • 寄存器
    +關(guān)注

    關(guān)注

    31

    文章

    5343

    瀏覽量

    120363
  • vhdl
    +關(guān)注

    關(guān)注

    30

    文章

    817

    瀏覽量

    128137

原文標(biāo)題:VHDL的編碼風(fēng)格(Verilog也可參考思想)

文章出處:【微信號(hào):zhuyandz,微信公眾號(hào):FPGA之家】歡迎添加關(guān)注!文章轉(zhuǎn)載請(qǐng)注明出處。

收藏 人收藏

    評(píng)論

    相關(guān)推薦

    Verilog與VHDL的比較 Verilog HDL編程技巧

    Verilog 與 VHDL 比較 1. 語法和風(fēng)格 Verilog :Verilog 的語法更接近于 C 語言,對(duì)于有 C 語言背景的工程師來說,學(xué)習(xí)曲線較平緩。它支持結(jié)構(gòu)化編程,代碼更直觀,易于
    的頭像 發(fā)表于 12-17 09:44 ?145次閱讀

    FPGA編碼風(fēng)格介紹

    組合邏輯環(huán)路(Combinational Loops):指組合邏輯的輸出信號(hào)不經(jīng)過任何時(shí)序邏輯電路(FF等),而直接反饋到輸入節(jié)點(diǎn),從而構(gòu)成的電路環(huán)路。
    的頭像 發(fā)表于 11-15 10:49 ?223次閱讀
    FPGA<b class='flag-5'>編碼</b><b class='flag-5'>風(fēng)格</b>介紹

    求助vhdl

    vhdl 技術(shù)
    發(fā)表于 11-13 11:35

    風(fēng)華貼片電容物料編碼如何看?

    風(fēng)華貼片電容的物料編碼規(guī)則通常包含多個(gè)部分,每個(gè)部分都代表了不同的信息。以下是關(guān)于如何解讀風(fēng)華貼片電容物料編碼的分點(diǎn)表示和歸納: 1、電容數(shù)值: 編碼的第一至第三位數(shù)字表示電容的數(shù)值,
    的頭像 發(fā)表于 11-04 15:33 ?218次閱讀
    風(fēng)華貼片電容物料<b class='flag-5'>編碼</b>如何看?

    增量編碼器和絕對(duì)值編碼器是什么

    工業(yè)編碼器是一類傳感器,是在工業(yè)自動(dòng)化閉環(huán)控制和數(shù)字化轉(zhuǎn)型物理感知重要的傳感器。關(guān)于傳感器的宣傳已很多,但是對(duì)于編碼器這么重要的傳感器,大家的認(rèn)識(shí)還是模糊的。
    的頭像 發(fā)表于 10-22 14:23 ?320次閱讀
    增量<b class='flag-5'>編碼</b>器和絕對(duì)值<b class='flag-5'>編碼</b>器是什么

    有沒有關(guān)于PDM信號(hào)編碼格式輸出的IC呢?

    請(qǐng)教一下大家,有沒有關(guān)于PDM信號(hào)編碼格式輸出的IC呢? 比如PCM轉(zhuǎn)PDM或者I2S什么的轉(zhuǎn)PDM?
    發(fā)表于 10-15 07:37

    磁電編碼器和光電編碼器的區(qū)別

    磁電編碼器和光電編碼器是兩種不同類型的編碼器,它們在原理、結(jié)構(gòu)、性能和應(yīng)用領(lǐng)域上都有所不同。 磁電編碼器和光電編碼器的區(qū)別 1. 引言
    的頭像 發(fā)表于 10-12 09:54 ?1149次閱讀

    【招聘】verilog vhdl FPGA

    1.熟悉FPGA架構(gòu)及應(yīng)用,熟悉圖像算法的FPGA實(shí)現(xiàn)。 2.熟悉verilog vhdl,熟悉Xilinx或Intel等開發(fā)工具。 3.有AI算法 fpga實(shí)現(xiàn)經(jīng)驗(yàn)優(yōu)先。 4.本科及以上學(xué)歷,碩士優(yōu)先。具有強(qiáng)烈的責(zé)任心,執(zhí)行力,良好的溝通能力和團(tuán)隊(duì)合作能力。
    發(fā)表于 09-02 15:50

    編碼器在機(jī)器人系統(tǒng)中的應(yīng)用

    隨著科技的飛速發(fā)展,機(jī)器人技術(shù)已廣泛應(yīng)用于工業(yè)、醫(yī)療、服務(wù)等多個(gè)領(lǐng)域。在機(jī)器人系統(tǒng)中,編碼器作為核心的位置和速度檢測裝置,發(fā)揮著至關(guān)重要的作用。本文將從編碼器的原理、分類及其在機(jī)器人系統(tǒng)中的應(yīng)用等方面進(jìn)行詳細(xì)闡述,旨在為讀者提供關(guān)于
    的頭像 發(fā)表于 06-13 14:51 ?839次閱讀

    Verilog到VHDL轉(zhuǎn)換的經(jīng)驗(yàn)與技巧總結(jié)

    Verilog與VHDL語法是互通且相互對(duì)應(yīng)的,如何查看二者對(duì)同一硬件結(jié)構(gòu)的描述,可以借助EDA工具,如Vivado,打開Vivado后它里面的語言模板后,也可以對(duì)比查看Verilog和VHDL之間的差異。
    的頭像 發(fā)表于 04-28 17:47 ?2490次閱讀
    Verilog到<b class='flag-5'>VHDL</b>轉(zhuǎn)換的經(jīng)驗(yàn)與技巧總結(jié)

    伺服編碼器分辨率越高越快嗎?

    。較高的分辨率意味著編碼器能夠提供更精確的位置或運(yùn)動(dòng)反饋信息。 然而,關(guān)于伺服編碼器分辨率與速度之間的關(guān)系,并沒有直接的等號(hào)關(guān)系。分辨率高主要代表編碼器的精度和位置信息的細(xì)致程度,而速
    的頭像 發(fā)表于 03-21 08:43 ?1067次閱讀
    伺服<b class='flag-5'>編碼</b>器分辨率越高越快嗎?

    基于VHDL的組合邏輯設(shè)計(jì)

    電子發(fā)燒友網(wǎng)站提供《基于VHDL的組合邏輯設(shè)計(jì).ppt》資料免費(fèi)下載
    發(fā)表于 03-11 09:23 ?2次下載

    編碼器好壞怎么判斷,編碼器原理

    編碼器(Encoder)是將輸入數(shù)據(jù)轉(zhuǎn)化為特定編碼表示的一種技術(shù)。對(duì)于不同類型的編碼器,評(píng)判其好壞可以從多個(gè)方面進(jìn)行考量,包括編碼質(zhì)量、速度、模型結(jié)構(gòu)等。
    的頭像 發(fā)表于 01-23 10:58 ?1899次閱讀

    磁性編碼器和光電編碼器的比較

    伺服電機(jī)編碼器是一種關(guān)鍵的反饋裝置,用于測量和控制電機(jī)的轉(zhuǎn)速和位置。在選擇伺服電機(jī)編碼器時(shí),常常面臨一個(gè)選擇:使用磁電編碼器還是光電編碼器。接下來將從幾個(gè)關(guān)鍵方面比較這兩種類型的
    的頭像 發(fā)表于 01-18 10:29 ?3208次閱讀

    求助,關(guān)于絕對(duì)值編碼器斷電后移動(dòng)范圍的問題求解

    最近在找關(guān)于絕對(duì)值編碼器的一些資料,看到了一種說法,不是很明白,想向各位請(qǐng)教一下。 在有些資料里提到了,當(dāng)絕對(duì)值編碼器的信號(hào)采集設(shè)備斷電后,絕對(duì)值編碼器的移動(dòng)范圍不能夠超過量程的1/2
    發(fā)表于 01-10 08:24
    主站蜘蛛池模板: 国产精品一区二区三区四区五区| 成人精品亚洲| 亚洲第二色| 欧美网站色| 午夜视频免费在线观看| 婷婷色香五月激情综合2020| 正在播放亚洲一区| lsj老司机精品视频在线观看| 亚洲成人高清在线| 视频在线色| 农村妇女色又黄一级毛片卡| 韩国三级久久精品| 黄网在线免费观看| 中文天堂最新版在线中文| 嫩草黄色影院| 人人干人人做| 女人69xxx| 成人免费aaaaa毛片| 色偷偷资源网| 国产一级大片在线观看| 黄色日比| 婷婷色激情| 亚洲影视自拍揄拍愉拍| 国产精品久久久久久久免费大片| 很黄网站| 亚洲 欧美 丝袜 制服 在线| 国产三级a三级三级天天| 大杳蕉伊人狼人久久一本线 | 一级特级片| 日本午夜大片免费观看视频| 午夜在线免费观看视频| 亚洲成人免费网站| 久久精品人人做人人看| avtt加勒比手机版天堂网| 59日本人xxxxxxxxx69| 欧美 在线播放| 久久天天躁夜夜躁狠狠躁2015| 一区二区三区视频在线观看| 免费黄色一级毛片| 日日操天天射| 热re99久久国产精品|