在线观看www成人影院-在线观看www日本免费网站-在线观看www视频-在线观看操-欧美18在线-欧美1级

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

Verilog比較方便的特點

FPGA之家 ? 來源:FPGA之家 ? 作者:FPGA之家 ? 2022-08-17 09:01 ? 次閱讀

動態截取固定長度數據語法,即+:和-:的使用,這兩個叫什么符號呢?運算符嗎?

Verilog比較方便的一個特點就是數據的截取和拼接功能了,截取使用方括號[],拼接使用大括號{},例如


reg[7:0]vect; wirea; wire[3:0]b, wire[5:0]c; assigna=vect[1];//取其中1Bit assignb[3:0]=vect[7:4];//截取4Bit assingc[5:0]={a,b[3:0],1'b1};//拼接

于是舉一反三(zi zuo cong ming),為了實現動態截取固定長度數據的功能,使用軟件編程的思維寫了如下語句,功能很好理解,根據cnt的值,每次截取vect的5Bit數據。:


reg[7:0]vect; reg[1:0]cnt; wire[4:0]out; assignout=vect[cnt+4:cnt];

一頓操作猛如虎,編譯一看傻如狗。使用ModelSim編譯之后,提示有如下語法錯誤:


**Error:test.v(10):Rangemustbeboundedbyconstantexpressions.

提示vect的范圍必須為常量表達式。也就是必須為,vect[6:2]或vect[7:4],不能是vect[a:0],vect[4:b],或vect[a:b]。額,這該怎么辦呢?

既然有這個使用場景,那Verilog在設計之初就應該會考慮到這個應用吧!于是就去翻IEEE的Verilog標準文檔,在5.2.1章節發現了一個用法可以實現我這個需求,那就是+:和-:符號,這個用法很少,在大部分關于FPGA和Verilog書籍中都沒有提到。

29de4094-1dc1-11ed-ba43-dac502259ad0.jpg

(獲取IEEE官方Verilog標準文檔IEEE_Verilog_1364_2005.pdf下載,公眾號(ID:電子電路開發學習)后臺回復【Verilog標準】)

大致意思就是,可以實現動態截取固定長度的數據,基本語法為:


vect[base+:width]或[base-:width]

其中base可以為變量,width必須為常量。

下面來舉幾個例子來理解這個符號。

有如下定義:


reg[7:0]vect_1; reg[0:7]vect_2; wire[2:0]out;

以下寫法分別表示什么呢?


vect_1[4+:3]; vect_1[4-:3]; vect_2[4+:3]; vect_2[4-:3];

分為三步:

1.先看定義。

vect_1[7:0]定義是大端模式,則vect_1[4+:3]和vect_1[4-:3]轉換后也一定為大端模式;vect_2[0:7]定義是小端模式,則vect_2[4+:3]和vect_2[4-:3]轉換后也一定為小端模式。

2.再看升降序。

其中+:表示升序,-:表示降序

3.看寬度轉換。


vect_1[4+:3]表示,起始位為4,寬度為3,**升序**,則vect_1[4+:3]=vect_1[6:4] vect_1[4-:3]表示,起始位為4,寬度為3,**降序**,則vect_1[4-:3]=vect_1[4:2]

29efbad6-1dc1-11ed-ba43-dac502259ad0.jpg

同理,


vect_2[4+:3]表示,起始位為4,寬度為3,升序,則vect_2[4+:3]=vect_2[4:6] vect_2[4-:3]表示,起始位為4,寬度為3,降序,則vect_2[4-:3]=vect_2[2:4]

2a0b6eca-1dc1-11ed-ba43-dac502259ad0.jpg

ModelSim仿真驗證,新建test.v文件:


moduletest; reg[7:0]vect_1; reg[0:7]vect_2; initial begin vect_1='b0101_1010; vect_2='b0101_1010; $display("vect_1[7:0]=%b,vect_2[0:7]=%b",vect_1,vect_2); $display("vect_1[4+:3]=%b,vect_1[4-:3]=%b",vect_1[4+:3],vect_1[4-:3]); $display("vect_2[4+:3]=%b,vect_2[4-:3]=%b",vect_2[4+:3],vect_2[4-:3]); $stop; end endmodule

在ModelSim命令窗口輸入:


//進入到源文件所在文件夾 cdc:/users/whik/desktop/verilog //編譯 vlogtest.v //仿真 vsimwork.test //運行 run-all //運行結果 #vect_1[7:0]=01011010,vect_2[0:7]=01011010 #vect_1[4+:3]=101,vect_1[4-:3]=110 #vect_2[4+:3]=101,vect_2[4-:3]=011 #**Note:$stop:test.v(15) #Time:0psIteration:0Instance:/test #BreakinModuletestattest.vline15

這種語法表示需要注意,前者起始位可以是變量,后者的寬度必須是常量,即vect[idx+:cnt]不符合語法標準,vect[idx+:4]或vect[idx-:4]才符合。

審核編輯:彭靜
聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 數據
    +關注

    關注

    8

    文章

    7102

    瀏覽量

    89282
  • Verilog
    +關注

    關注

    28

    文章

    1351

    瀏覽量

    110189
  • 編譯
    +關注

    關注

    0

    文章

    660

    瀏覽量

    32927

原文標題:這個Verilog語法你一定不知道

文章出處:【微信號:zhuyandz,微信公眾號:FPGA之家】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    Verilog_比較細節的語法

    Verilog比較細節的`語法
    發表于 09-17 23:47

    fpga用什么軟件工具開代碼比較方便

    fpga用什么軟件工具開代碼比較方便比如看C語言,用Source Insight比較方便,但是發現用source insight 看verilog
    發表于 04-15 21:55

    vhdl與verilog語法比較

    VHDL與verilog比較1vhdl語法要求嚴格 如賦值的數據類型必須一致, 左邊為整數,右邊必須也為整數, 左邊為矢量右邊必須也為矢量左右的數據的 位寬必須也一致,例如: library
    發表于 05-30 15:12

    現在社會上Verilog與vhdl哪個用的比較多?

    現在社會上Verilog與vhdl哪個用的比較多?
    發表于 09-08 20:45

    【FPGA學習】Verilog HDL有哪些特點

    Verilog HDL 的特點Verilog HDL 語言不僅定義了語法,而且對每個語法結構都定義了清晰的模擬、仿真語義。使用這種語言編寫的模型可以方便地使用
    發表于 09-18 09:33

    Verilog HDL與VHDL及FPGA的比較分析

    Verilog HDL與VHDL及FPGA的比較分析. Verilog HDL優點:類似C語言,上手容易,靈活。大小寫敏感。在寫激勵和建模方面有優勢。
    發表于 01-11 10:45 ?1383次閱讀

    VHDL,Verilog,System verilog比較

    本文簡單討論并總結了VHDL、Verilog,System verilog 這三中語言的各自特點和區別 As the number of enhancements
    發表于 01-17 11:32 ?0次下載

    verilog與VHDL相互轉化軟件

    一款基于verilog與VHDL相互轉化的軟件,用著很方便,很實用。
    發表于 03-21 17:26 ?20次下載

    verilog是什么_verilog的用途和特征是什么

    本文首先介紹了verilog的概念和發展歷史,其次介紹了verilog的特征與Verilog的邏輯門級描述,最后介紹了Verilog晶體管級描述與v
    發表于 05-14 14:22 ?4.6w次閱讀
    <b class='flag-5'>verilog</b>是什么_<b class='flag-5'>verilog</b>的用途和特征是什么

    Verilog HDL的基礎知識詳細說明

    硬件描述語言基本語法和實踐 (1)VHDL 和Verilog HDL的各自特點和應用范圍 (2)Verilog HDL基本結構語言要素與語法規則 (3) Verilog HDL組
    發表于 07-03 17:36 ?54次下載
    <b class='flag-5'>Verilog</b> HDL的基礎知識詳細說明

    比較Verilog中Wire和Reg的不同之處

    wire 和reg是Verilog程序里的常見的兩種變量類型,他們都是構成verilog程序邏輯最基本的元素。正確掌握兩者的使用方法是寫好verilog程序的前提。
    的頭像 發表于 03-08 17:18 ?1.2w次閱讀

    關于Verilog語法你不知道的方法

    Verilog比較方便的一個特點就是數據的截取和拼接功能了,截取使用方括號[],拼接使用大括號{}。
    的頭像 發表于 03-21 10:01 ?3176次閱讀
    關于<b class='flag-5'>Verilog</b>語法你不知道的方法

    Verilog在設計時候的不方便地方

    Verilog發布到今天,其已經經歷了四十年的風雨,早期的“電路”設計Verilog的確很方便,尤其在那個年代,其也崔進了集成電路的發展。但是“老”不代表方便,尤其高速發展的今天,集
    發表于 08-02 10:08 ?963次閱讀

    二十進制編碼器及Verilog HDL描述 Verilog HDL程序的基本結構及特點

    節通過硬件描述語言Verilog HDL對二十進制編碼器的描述,介紹Verilog HDL程序的基本結構及特點
    的頭像 發表于 08-28 09:54 ?3050次閱讀
    二十進制編碼器及<b class='flag-5'>Verilog</b> HDL描述 <b class='flag-5'>Verilog</b> HDL程序的基本結構及<b class='flag-5'>特點</b>

    Verilog與VHDL的比較 Verilog HDL編程技巧

    Verilog 與 VHDL 比較 1. 語法和風格 VerilogVerilog 的語法更接近于 C 語言,對于有 C 語言背景的工程師來說,學習曲線較平緩。它支持結構化編程,代
    的頭像 發表于 12-17 09:44 ?245次閱讀
    主站蜘蛛池模板: 国色天香精品亚洲精品| 一级做性色a爱片久久片| 在线观看h视频| 日本三级电影在线观看| 色综合久久久久久久久五月 | 日韩一级在线视频| 日本三级全黄| 噜噜噜噜影院| 国产va在线观看| 久久黄色精品视频| 国产亚洲综合色就色| 鲁一鲁色一色| 好看的一级毛片| 美女被色| 国产私密| 天天干天天做| 国产精品午夜国产小视频| 色444| 午夜1000集| 亚洲精品久久久久午夜福| 天天碰天天操| 2021国产成人午夜精品| 性免费视频| 亚欧美视频| 亚洲国产欧美精品一区二区三区| 在线三级网| 亚洲三级在线视频| 亚洲va久久久噜噜噜久久| 亚洲成人网在线播放| 日韩在线视频www色| 免费三级网站| 久久国产精品免费网站| 99精品久久久久久久婷婷| 一级特黄a视频| 新版天堂中文在线8官网| 久久天天躁狠狠躁夜夜爽| 91国内在线观看| 欧美亚洲第一区| 高清视频 一区二区三区四区| 泰国一级毛片aaa下面毛多| 久久久精品免费视频|