在线观看www成人影院-在线观看www日本免费网站-在线观看www视频-在线观看操-欧美18在线-欧美1级

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復(fù)
登錄后你可以
  • 下載海量資料
  • 學(xué)習(xí)在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認(rèn)識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

STA學(xué)習(xí)記錄-時(shí)鐘定義

冬至子 ? 來源:行走的BUG永動機(jī) ? 作者:行走的BUG永動機(jī) ? 2023-05-26 17:20 ? 次閱讀

STA的準(zhǔn)備工作包括:設(shè)定時(shí)鐘、指定IO時(shí)序特性、指定false path和multicycle path

1 什么是STA環(huán)境

看下面這張圖,假定Design Under Analysis(DUA)會與其他同步設(shè)計(jì)交互,這意味著DUA會從前一級觸發(fā)器接收數(shù)據(jù),并將數(shù)據(jù)發(fā)送到DUA后一級觸發(fā)器

圖片

為了對這種設(shè)計(jì)執(zhí)行STA,需要指定觸發(fā)器的時(shí)鐘、進(jìn)入DUA和退出DUA的所有路徑上的時(shí)序約束

2 指定時(shí)鐘

定義時(shí)鐘時(shí)需要提供以下信息

  • Clock source:可以是design的port,也可以是design內(nèi)部的pin
  • Period:時(shí)鐘周期
  • Duty cycle:高電平持續(xù)時(shí)間和低電平持續(xù)時(shí)間
  • Edge time:上升沿和下降沿出現(xiàn)的時(shí)刻

通過時(shí)鐘定義,所有內(nèi)部的timing path都將受到約束,表明所有的internal path都可以用時(shí)鐘路徑來分析

下面是一個(gè)基本的時(shí)鐘定義:

create_clock \\
 -name SYSCLK \\
 -period 20 \\
 -waveform {0 5} \\
 [get_ports SCLK]

在這個(gè)例子中,定義的時(shí)鐘名稱為SYSCLK,并且指定定義的時(shí)鐘是在端口 SCLK上定義的

SYSCLK的時(shí)鐘周期時(shí)20(如果沒有明確指定時(shí)間的單位,默認(rèn)是ns)

-waveform中,第一個(gè)變量是上升沿出現(xiàn)的時(shí)刻,第二個(gè)變量是下降沿出現(xiàn)的時(shí)刻,因此在這個(gè)例子中,上升沿出現(xiàn)在0ns,下降沿出現(xiàn)在5ns

這個(gè)例子對應(yīng)的波形圖如下

圖片

-waveform中可以指定任意數(shù)量的邊沿,但是所有的邊沿必須在一個(gè)周期之內(nèi)

邊沿時(shí)刻從0時(shí)刻之后的第一個(gè)上升沿開始,然后依次是下降沿、上升沿、下降沿……

-waveform {time_rise time_fall time_rise time_fall ...}

-waveform中需要指定偶數(shù)個(gè)邊沿,并且-waveform指定的是一個(gè)周期內(nèi)的波形,在后續(xù)周期中不斷重復(fù)

如果沒有指定-waveform,默認(rèn)是

-waveform {0, period/2}

下面看一個(gè)不使用-waveform選項(xiàng)的時(shí)鐘定義

create_clock -period 5 [get_ports SCAN_CLK]

其對應(yīng)的波形圖如下:

圖片

在這個(gè)例子中,由于沒有指定-name,因此定義時(shí)鐘名稱與端口名稱相同

再來看另一個(gè)例子

create_clock -name BDYCLK \\
-period 15 \\
-waveform {5 12} \\
[get_ports GBLCLK]

其對應(yīng)的波形圖如下:

圖片

在這個(gè)例子中,根據(jù)-waveform可以知道,第一個(gè)上升沿出現(xiàn)在5ns,第一下降沿出現(xiàn)在12ns

因?yàn)檫x項(xiàng)-waveform給出的上升沿和下降沿時(shí)刻會在每個(gè)cycle里重復(fù),又因?yàn)?code>-period指定周期是15ns,

所以在第二個(gè)cycle中,上升沿應(yīng)該出現(xiàn)在15+5=20ns處

下降沿出現(xiàn)在15+12=27ns處

再來看另外兩個(gè)例子:

# Figureacreate_clock  -period 10 \\ 
-waveform {0 5} \\
[get_ports FCLK]

#Figurebcreate_clock -period 125 \\
-waveform {100 150} \\
[get_ports ARMCLK]

對應(yīng)的波形圖如下:

圖片

對于圖(a),周期為10ns,上升沿出現(xiàn)在5ns,下降沿出現(xiàn)在10ns

在第二個(gè)cycle中,上升沿出現(xiàn)在10+5=15ns,下降沿出現(xiàn)在10+10=20ns

對于圖(b),周期為125ns,從選項(xiàng)-waveform {100 150}可以知道,上升沿出現(xiàn)在100ns處,并且 high duration = 150-100=50ns,那么low duration = period - high duration,即low duration = 75ns

因?yàn)?50ns的時(shí)刻已經(jīng)超出了第一個(gè)cycle的時(shí)間范圍,并且low duration的時(shí)長小于上升沿出現(xiàn)的時(shí)刻,那么可以推斷出 在第一個(gè)cycle中有一個(gè)下降沿 ,這個(gè)下降沿出現(xiàn)的時(shí)刻可以用100 - low duration得到(100 - 75 = 25ns)

出現(xiàn)這種情況的原因是:選項(xiàng)-waveform要從上升沿開始

根據(jù)下面的例子,再次理解一下選項(xiàng)-waveform

#Figure (a)
create_clock -period 1.0 \\
-waveform {0.5 1.375} \\
[get_ports MAIN_CLK]

#Figure (b)
create_clock -period 1.2 \\
-waveform {0.3 0.4 0.8 1.0} \\
[get_ports JTAG_CLK]

對應(yīng)的波形圖如下:

圖片

在這個(gè)例子中,圖(a)的分析方式與上一個(gè)例子相同

圖(b)由于選項(xiàng)-waveform中給出的上升沿和下降沿時(shí)刻都在第一個(gè)cycle時(shí)間范圍內(nèi),因此不需要進(jìn)行額外的推斷

在某些情況下,比如在頂層的輸入端口或某些PLL的輸出端口,工具無法自動計(jì)算出過渡時(shí)間,此時(shí)在clock source出顯示指定過渡時(shí)間很有用,可以使用set_clock_transition來指定

set_clock_transition -rise 0.1 [get_clocks CLK_CONFIG]

set_clock_transition -fall 0.12 [get_clocks CLK_CONFIG]

# 這個(gè)約束僅適用于ideal clocks,一旦構(gòu)建了時(shí)鐘樹就將其忽略

3 時(shí)鐘不確定度

可以用set_clock_uncertainty來指定時(shí)鐘周期的timing uncertainty,用不確定度來建模那些會降低有效時(shí)鐘周期的因素

set_clock_uncertainty -setup 0.2 [get_clocks CLK_CONFIG]

set_clock_uncertainty -hold 0.05 [get_clocks CLK_CONFIG]

setup check會減少可用的有效時(shí)鐘周期

對于hold check,clock uncertainty被用作需要滿足的額外時(shí)序裕量

這里我的理解是,由于clock uncertainty的存在,減小了有效的時(shí)鐘周期,并且在clock uncertainty范圍內(nèi),我們無法預(yù)測clock是否有效,為了保證數(shù)據(jù)的正確性,在進(jìn)行數(shù)據(jù)傳輸時(shí),應(yīng)當(dāng)避開clock uncertainty的范圍

圖片

下面幾個(gè)command可以用來指定跨時(shí)鐘邊界path上的clock uncertainty,被稱為 inter-clock uncertainty

set_clock_uncertainty -from VIRTUAL-SYS_CLK -to SYSCLK -hold 0.05

set_clock_uncertainty -from VIRTUAL-SYS_CLK -to SYSCLK -setup 0.3

set_clock_uncertainty -from SYS_CLK -to CFG_CLK -hold 0.05

set_clock_uncertainty -from SYS_CLK -to CFG_CLK -setup 0.1

圖片

從圖中可以看到,該電路為兩個(gè)不同的clock domain SYS_CLK和CFG_CLK之間的path,根據(jù)上面約束可知,setup check的uncertainty是100ps,hold check的uncertainty是50ps

4 時(shí)鐘延遲

可以使用set_clock_latency來指定時(shí)鐘的延遲,用法如下:

set_clock_latency 1.8 -rise [get_clocks MAIN_CLK]
# MIN_CLK的上升沿延遲是1.8ns
set_clock_latency 2.1 -fall [all_clocks]
# 所有時(shí)鐘的下降沿延遲是2.1ns

# -rise和-fall指的是 時(shí)鐘在DFF的clock pin上的延遲

時(shí)鐘延遲有兩種:network latency和source latency

  • network latency:從時(shí)鐘定義點(diǎn)(creat_clock)到DFF的clock pin上的延遲
  • source latency:指的是從時(shí)鐘源到時(shí)鐘定義點(diǎn)的延遲

下圖直觀的展示了這兩個(gè)延遲類型的位置

圖片

以下是一些指定源延遲和網(wǎng)絡(luò)延遲的示例

# 沒有給出 -source 選項(xiàng),表明是 network latency
# 沒有給出 -fall和-rise選項(xiàng),表明fall和rise是相同的
# 沒有給出 -min和-max選項(xiàng),表明min和max是相同的

set_clock_latency 0.8 [get_clocks CLK_CONFIG]

set_clock_latency 1.9 -source [get_clocks SYS_CLK]

set_clock_latency 0.851 -source -min [get_clocks CFG_CLK]

set_clock_latency 1.322 -source -max [get_clocks CFG_CLK]

一個(gè)重要的區(qū)別:

當(dāng)clock tree建立后,network latency可以忽略,source latency不可以忽略

這是因?yàn)閚etwork latency的作用是在clock tree綜合之前用來估算clock tree上的latency,當(dāng)clock tree綜合之后,我們可以計(jì)算出clock tree上的實(shí)際的latency,因此不在需要network latency

當(dāng)clock tree綜合后,總的clock latency = source latency + clock tree上的實(shí)際latency

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點(diǎn)僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學(xué)習(xí)之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報(bào)投訴
  • 觸發(fā)器
    +關(guān)注

    關(guān)注

    14

    文章

    2000

    瀏覽量

    61158
  • 時(shí)鐘源
    +關(guān)注

    關(guān)注

    0

    文章

    93

    瀏覽量

    15967
  • PLL電路
    +關(guān)注

    關(guān)注

    0

    文章

    92

    瀏覽量

    6408
  • dff
    dff
    +關(guān)注

    關(guān)注

    0

    文章

    26

    瀏覽量

    3418
收藏 人收藏

    評論

    相關(guān)推薦

    【小e物聯(lián)網(wǎng)試用體驗(yàn)】+小e做為AP和STA

    通過學(xué)習(xí)小e開發(fā)板的各種資料,了解到小e開發(fā)板可以作為AP、STA連接到路由器和AP+STA, AP就是無線接入點(diǎn),無線網(wǎng)的創(chuàng)建者,也是網(wǎng)絡(luò)的中心節(jié)點(diǎn),例如家用無線路由器就是一個(gè)典型的AP。S
    發(fā)表于 05-22 17:16

    USART程序USATR_RX_STA為什么要定義成無符號字符型

    研究了幾天還是沒有研究出來的問題,所以上來請教高手們。在《例說STM32》的USART部分,有u8USATR_RX_STA=0這一定義語句,我的問題是:1.USATR_RX_STA為什么要定義
    發(fā)表于 06-17 04:35

    MCU產(chǎn)生12MHz時(shí)鐘應(yīng)用于ADC和STA339BW差異有多大?

    一個(gè)放大器系統(tǒng):ADC + STA339BW + MCU,MCU產(chǎn)生12MHz時(shí)鐘應(yīng)用于ADC(連接到MCLK引腳)和STA339BW(連接到XTI引腳)。我不知道會有多少差異,這會影響THD
    發(fā)表于 07-22 16:10

    STM32時(shí)鐘學(xué)習(xí)記錄

    STM32 時(shí)鐘學(xué)習(xí)記錄時(shí)鐘樹介紹在STM32 時(shí)鐘系統(tǒng)中,有5 個(gè)重要的時(shí)鐘源:分別是LSI
    發(fā)表于 08-13 07:38

    FOC學(xué)習(xí)記錄

    FOC學(xué)習(xí)——第一天(20210208)##前言——20210208###為什么博客希望養(yǎng)成一個(gè)記錄和總結(jié)的好習(xí)慣學(xué)習(xí)的過程記錄就像日記,可追溯分享下
    發(fā)表于 08-17 07:15

    Wifi的STA模式連接wifi的過程分享

    Harmony OS 設(shè)備開發(fā)學(xué)習(xí)記錄(十四)–Wifi的STA模式連接wifi基于hispark wifi套件采用harmony os 2.0全量代碼一、在源碼中建立demo文件在app下建立
    發(fā)表于 02-15 06:14

    STA7056.pdf

    The STA7056 is a mono Bridge Amplifier assembled in single in line 9 pins package. The STA
    發(fā)表于 09-19 14:47 ?25次下載
    <b class='flag-5'>STA</b>7056.pdf

    C語言學(xué)習(xí)記錄筆記需要的趕緊下載學(xué)習(xí)

    本文檔的主要內(nèi)容詳細(xì)介紹的是C語言學(xué)習(xí)記錄筆記需要的趕緊下載學(xué)習(xí)
    發(fā)表于 02-12 08:00 ?7次下載
    C語言<b class='flag-5'>學(xué)習(xí)</b><b class='flag-5'>記錄</b>筆記需要的趕緊下載<b class='flag-5'>學(xué)習(xí)</b>

    GD32F407的時(shí)鐘學(xué)習(xí)記錄

    GD32F407的時(shí)鐘學(xué)習(xí)記錄起因: 因工作原因,使用到了國產(chǎn)的GD32F407,順便學(xué)習(xí)了下他們的時(shí)鐘,構(gòu)成,這里簡單講一下ADC,CAN
    發(fā)表于 11-29 17:36 ?16次下載
    GD32F407的<b class='flag-5'>時(shí)鐘</b><b class='flag-5'>學(xué)習(xí)</b><b class='flag-5'>記錄</b>

    用CubeMX開發(fā)HAL學(xué)習(xí)記錄(一)

    提示:文章寫完后,目錄可以自動生成,如何生成可參考右邊的幫助文檔用CubeMX開發(fā)HAL學(xué)習(xí)記錄(一)前言一、CubeMX基本特點(diǎn)1、集成MCU Finder功能,便于用戶進(jìn)行芯片選型2、圖形化方式
    發(fā)表于 12-09 17:06 ?8次下載
    用CubeMX開發(fā)HAL<b class='flag-5'>學(xué)習(xí)</b><b class='flag-5'>記錄</b>(一)

    Harmony OS 設(shè)備開發(fā)學(xué)習(xí)記錄(十四)--Wifi的STA模式連接wifi

    Harmony OS 設(shè)備開發(fā)學(xué)習(xí)記錄(十四)–Wifi的STA模式連接wifi基于hispark wifi套件采用harmony os 2.0全量代碼一、在源碼中建立demo文件在app下建立
    發(fā)表于 12-14 19:09 ?2次下載
    Harmony OS 設(shè)備開發(fā)<b class='flag-5'>學(xué)習(xí)</b><b class='flag-5'>記錄</b>(十四)--Wifi的<b class='flag-5'>STA</b>模式連接wifi

    藍(lán)橋杯單片機(jī)學(xué)習(xí)過程記錄(八)時(shí)鐘芯片

    藍(lán)橋杯單片機(jī)學(xué)習(xí)過程記錄(八)時(shí)鐘芯片//時(shí)鐘芯片#include#includesbit sck=P1^7;sbit
    發(fā)表于 12-23 19:15 ?6次下載
    藍(lán)橋杯單片機(jī)<b class='flag-5'>學(xué)習(xí)</b>過程<b class='flag-5'>記錄</b>(八)<b class='flag-5'>時(shí)鐘</b>芯片

    藍(lán)橋杯單片機(jī)學(xué)習(xí)過程記錄(十四)第六屆溫度記錄

    藍(lán)橋杯單片機(jī)學(xué)習(xí)過程記錄(十四)第六屆溫度記錄結(jié)果實(shí)現(xiàn)的問題:時(shí)鐘和定時(shí)器兩個(gè)時(shí)間走的不同,定時(shí)器5ms下200次不是1s,差別挺大,沒找到什么原因。官方的底層驅(qū)動下,ds1302的
    發(fā)表于 12-29 19:19 ?11次下載
    藍(lán)橋杯單片機(jī)<b class='flag-5'>學(xué)習(xí)</b>過程<b class='flag-5'>記錄</b>(十四)第六屆溫度<b class='flag-5'>記錄</b>

    STM32 task3學(xué)習(xí)記錄

    寄存器的四位控制3.stm32f10x.h用宏定義的方式實(shí)現(xiàn)了地址映射4.GPIO_TypeDef用typedef關(guān)鍵字聲明了GPIO_TypeDef的結(jié)構(gòu)體類型,結(jié)構(gòu)體內(nèi)定義了七個(gè)_IO uint32_t類型的變量5.時(shí)鐘系統(tǒng)
    發(fā)表于 01-12 17:52 ?0次下載
    STM32 task3<b class='flag-5'>學(xué)習(xí)</b><b class='flag-5'>記錄</b>

    STM32F103學(xué)習(xí)筆記-時(shí)鐘

    本筆記簡要介紹了時(shí)鐘的相關(guān)定義和配置。
    發(fā)表于 01-18 08:31 ?2次下載
    STM32F103<b class='flag-5'>學(xué)習(xí)</b>筆記-<b class='flag-5'>時(shí)鐘</b>
    主站蜘蛛池模板: 色九| 黄 色 录像成 人播放免费99网| 免费大片黄在线观看日本| 日本欧美一区二区三区视频| 亚洲成人伊人网| 特级黄毛片| 国产拍拍视频| 国产午夜精品久久理论片小说| 综合色久七七综合七七蜜芽| 中文字幕天堂| 黄色三级网站免费| 免费操人视频| 综合久久婷婷| 国产香蕉视频在线播放| 国产高清一级在线观看| 在线a亚洲老鸭窝天堂新地址| 二区三区在线观看| 羞涩妩媚玉腿呻吟嗯啊销魂迎合| 国产永久视频夜色资源网| 国产情侣草莓视频在线| 亚洲欧洲日韩综合| freesex欧美| 91成人免费视频| 欧美精品1| 国产又粗又大又爽又免费| 亚欧成人乱码一区二区| 97伊人久久| 高清视频黄色录像免费| 香蕉久久精品| 午夜精品久久久久久久2023| 性久久久久久久| 精品一区二区视频| 窝窝视频成人影院午夜在线 | 在线播放免费观看| 午夜网站免费| 狠狠色丁香六月色| www.欧美黄色| 毛片快播| 黄色国产在线观看| 免费毛片网站在线观看| ak福利午夜在线观看|