在线观看www成人影院-在线观看www日本免费网站-在线观看www视频-在线观看操-欧美18在线-欧美1级

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

Top和Block實戰經驗以及DDR接口時序

冬至子 ? 來源:RTL2GDS ? 作者:老本 Benjamin ? 2023-06-27 15:07 ? 次閱讀

IO約束在頂層和模塊級的主要命令都是以下幾個,但是實際應用的復雜程度不可同日而語,本篇會先介紹模塊級IO約束實戰經驗,然后講解頂層IO約束復雜性,過程中會介紹DDR接口時序。

set_input_delay
set_output_delay
set_drive
set_driving_cell
set_input_transition
set_load
...

各個命令的具體用法可以在PT中通過man了解詳情,這里就不費勁重復了:

pt_shell > man set_input_delay

模塊級IO約束實戰

圖片

上圖給出了一種IN2REG路徑的示意圖,DUA為當前模塊,外部有一個假想的虛擬寄存器在驅動CIN端口,這種情況下我們可通過以下命令來約束:

set period 8
create_clock -name CLKP -period $period [get_ports CLKP]
#創建同頻率的虛擬時鐘
create_clock -name vCLKP -period $period
#參考值為0.6,根據實際情況調整
set_input_delay [expr 0.6 * $period] -clock vCLKP [get_ports CIN]
#假設端口buffer為BUFX4
set_driving_cell -lib_cell BUFX4 -pin Z [get_ports CIN]

虛擬時鐘的作用

使用set_input_delay時,可以指定真實時鐘CLKP,也可以指定虛擬時鐘vCLKP,在CTS之前是沒有區別的。然而,在CTS之后,如果指定的是真實時鐘,那么虛擬寄存器的時鐘延遲就被忽略了。如果指定的是虛擬時鐘,工具往往可以根據內部真實時鐘的平均延遲來估算外部虛擬寄存器的時鐘延遲,更加合理。

一般地,為了讓頂層的時序更容易滿足,在模塊級優化的時候,都會對自己內部的IN2REG和REG2OUT路徑約束更加嚴格,可以設置外部的延遲為60%的時鐘周期,給內部的數據路徑留40%的空間。不過,具體問題需要具體分析了。

需要注意,set_input_delay 可以指定-max和-min選項,分別對應setup和hold時序檢查,如果只是指定其中一個選項,或者都不指定,那么工具在檢查setup和hold時,會使用相同的值。

端口Buffer和set_driving_cell配合使用

在實際項目中,為了避免模塊之間,或者模塊和頂層之間IO接口部分出現時序問題,一般會要求在靠近IO端口的地方添加具有一定驅動能力的端口Buffer。在這種情況下,可以通過set_driving_cell來模擬端口的真實外部環境。假如沒有端口Buffer,也可以通過set_input_transition大致指定輸入端口的驅動能力。

總體來說,set_driving_cell會考慮到OCV的影響,input transition是查表計算出來的,而set_input_transition比較簡單粗暴,在IO端口時序不那么關鍵的時候,也可以使用。但在頂層,一般使用后者,因為IO單元的輸入電容較大,芯片外部具備驅動能力較強的器件,標準單元庫中沒有buffer能夠驅動。

頂層IO約束實戰

頂層IO約束在原理上與模塊級沒有本質區別,然而由于頂層需要與外部器件進行通訊,除了GPIO,還會接觸到各種標準協議接口,例如UARTI2C,SPI,LVDS,DDR等等,在寫SDC前需要讀一讀協議。更復雜的情況是,由于存在端口復用的情況,往往同一個端口具備多種時序要求,需要逐一定義。

圖片

上圖是一個PinMux(也稱為IOMux)的示意圖,在SoC設計中非常常見,特別對于IO limited的芯片來說,PinMux是很有必要的。看似復雜,其實只要各個擊破就能達到目標,SDC標準制定者已經為我們考慮到了這一點,通過set_input_delay的選項-add_delay,可以對同一個端口設置多重約束,例如:

set_input_delay [expr 0.6 * $periodA] -clock CLKA [get_ports CIN] -add_delay
set_input_delay [expr 0.3 * $periodB] -clock CLKB [get_ports CIN] -add_delay

另外,針對頂層輸出數字端口,需要根據芯片使用的實際情況set_load,一般的GPIO端口負載電容都在pF級別。

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 寄存器
    +關注

    關注

    31

    文章

    5357

    瀏覽量

    120668
  • SoC設計
    +關注

    關注

    1

    文章

    148

    瀏覽量

    18791
  • 輸入電容
    +關注

    關注

    1

    文章

    41

    瀏覽量

    9842
  • 虛擬機
    +關注

    關注

    1

    文章

    919

    瀏覽量

    28279
  • DDR接口
    +關注

    關注

    0

    文章

    5

    瀏覽量

    7549
收藏 人收藏

    評論

    相關推薦

    擁有20多年工程實戰經驗的技術總工,和你談電機控制!

    趙工,20多年工程實戰經驗,現任哈爾濱漢能光電科技有限公司技術總工,此前在國營特大型工廠工作過,熟悉大多工業現場,廠內搞過小改,在鐵路非標設備公司、醫療非標設備公司、國內知名刷卡設備公司、中波發射機供應商做設計工作。
    的頭像 發表于 11-15 17:05 ?0次閱讀

    pcb制造業節約用電實戰經驗

    pcb制造業節約用電實戰經驗
    發表于 08-20 20:36

    FPGA寶貴實戰經驗及Verilog編程規范

    `FPGA寶貴實戰經驗及Verilog編程規范`
    發表于 06-10 12:03

    【資料分享】ST MCU實戰經驗10篇,應用問題,官方解答

    ST MCU實戰經驗10篇,應用問題,官方解答
    發表于 03-21 15:12

    LLEGRO高速高密PCB設計實戰經驗

    本帖最后由 carey123 于 2014-10-24 15:49 編輯 ALLEGRO高速高密PC設計實戰經驗很好的資料采集
    發表于 10-24 12:02

    [實戰經驗] 免費全功能 STM32 TrueSTUDIO 9.0

    “Run→Debug Configurations…”或者在工具欄點擊“Configure Debug”按鈕,彈出以下對話框,根據下圖修改選擇。全文請到STM32中文官網下載:[實戰經驗] 免費全功能 STM32 TrueSTUDIO 9.0
    發表于 03-27 14:03

    振動傳感器項目外包,有實戰經驗的電子工程師聯系我。

    振動傳感器項目外包,有實戰經驗的電子工程師聯系我。
    發表于 12-01 12:28

    開關電源維修方法和實戰經驗

    開關電源維修方法和實戰經驗開關電源維修方法和實戰經驗
    發表于 01-15 16:38 ?49次下載

    DDR_SDRAM介紹以及時序

    DDR_SDRAM介紹和時序圖,DDR_SDRAM介紹和時序
    發表于 02-23 11:58 ?7次下載

    DDR時序設計

    最新版本的李黎明DDR時序PPT
    發表于 11-02 17:05 ?0次下載

    STM32 應用實戰經驗篇推薦閱讀

    近日,ST官方技術部門又推出了3篇針對STM32應用開發的實戰經驗篇,分享給各位ST MCU使用者。在此我對這三篇文章內容作個簡介,細節可自行去ST官方相關網站下載,文章結尾會介紹。 第一篇是介紹了
    發表于 11-29 17:42 ?503次閱讀

    ARM全國產云平臺部署容器實戰經驗分享

    ARM全國產云平臺部署容器實戰經驗分享
    發表于 07-18 16:11 ?71次下載
    ARM全國產云平臺部署容器<b class='flag-5'>實戰經驗</b>分享

    實戰經驗:從5個方面來談IC設計資料下載

    電子發燒友網為你提供實戰經驗:從5個方面來談IC設計資料下載的電子資料下載,更有其他相關的電路圖、源代碼、課件教程、中文資料、英文資料、參考設計、用戶指南、解決方案等資料,希望可以幫助到廣大的電子工程師們。
    發表于 04-14 08:41 ?28次下載
    <b class='flag-5'>實戰經驗</b>:從5個方面來談IC設計資料下載

    嵌入式項目實戰經驗

    嵌入式項目實戰經驗分享,C/C++、Linux、STM32、51單片機、FPGA、IoT、OpenCV、數字圖像處理、通信、算法!
    發表于 11-03 12:36 ?23次下載
    嵌入式項目<b class='flag-5'>實戰經驗</b>

    提升開關電源效率的理論分析與實戰經驗

    在這里有電源技術干貨、電源行業發展趨勢分析、最新電源產品介紹、眾多電源達人與您分享電源技術經驗,關注我們,與中國電源行業共成長! 提升開關電源效率的理論分析與實戰經驗 引言 開關電源設計中,為獲得
    的頭像 發表于 01-09 10:04 ?143次閱讀
    提升開關電源效率的理論分析與<b class='flag-5'>實戰經驗</b>
    主站蜘蛛池模板: 亚洲 欧美 另类 吹潮| 日本怡红| 天天射网站| 手机看片自拍自自拍日韩免费| 老司机狠狠k免费毛片| 国产亚洲精品久久久极品美女| 天天视频入口| 久久福利影视| 香蕉爱爱视频| 欧美伊人久久综合网| 午夜一区二区三区| 国产在线视频欧美亚综合| 中文字幕在线一区二区三区| 噜噜噜噜噜久久久久久91| 夜夜骑天天操| 国产精品一区牛牛影视| 色老头久久网| 国模人体一区二区三区| 男人和女人在床做黄的网站 | 久久精品草| 欧美小网站| 性欧美xxxx视频在线观看| 天天爽天天狼久久久综合| bt天堂磁力搜索| 精品成人| 色就是色欧美色图| 222aaa免费国产在线观看| 777黄色片| 亚洲成人aaa| 色wwwwww| 伊人精品视频在线| 久久免费国产视频| 狠狠色狠狠色综合日日32| 亚洲国产婷婷香蕉久久久久久| 亚洲艹| 午夜久久免影院欧洲| 在线黄视频网站| 亚洲1314| 84pao强力永久免费高清| 婷婷午夜| 欧美日本一区二区三区道|