芯片承載著人類最先進的科技。如今中國已成為芯片設計強國,但在芯片制造上卻處處被卡,芯片制造究竟難在哪里? 時至今日,芯片已形成一套非常成熟專精的制造流程[1],它并非簡單地一步到位,而是分為存在一定時間間隔和空間次序的多個階段[2]。大體來說,芯片制造分為晶圓加工制造、前道工藝(芯片加工)及后道工藝(封裝測試)三大環節,我國主要集中切入晶圓加工制造、后道封裝測試兩個環節,前道工藝大部分高端設備和材料基本均處于空白狀態,所以高端芯片往往需要進口。
付斌 | 作者
李拓 | 編輯
果殼硬科技 | 策劃
晶圓制造:先有晶圓后有芯
若想獲得一顆芯片,要先將石英砂做成薄薄的晶圓片(或者說襯底),再進行后續加工,最后切割為芯片。
因此,晶圓加工制造是半導體產業最上游、最基礎的行業,又分為硅的初步純化、單晶硅的制造以及晶圓制造三個子產業。
集成電路的生產過程:從石英砂到芯片[4]
晶圓與威化餅干的英文都是wafer,這并非巧合, 打個比方來說,生產晶圓就像生產薄脆餅干,將面粉過篩,再與調料和水混合,經過攪拌成面團后,輥印成型成餅胚,再切割而成。晶圓制造也是同理,只不過,晶圓制造對原材料和工藝的要求極為嚴苛和復雜。
由于硅在地殼中占比達到25.8%,儲量豐富且易于獲取,因此硅基半導體是產量最大、應用最廣的半導體材料。但并非所有硅都能做芯片,芯片制程工藝的尺度已達到納米級,任何細微的雜質都會影響芯片正常工作,因此芯片制造中使用的硅是純度達到99.9999999%~99.999999999%(9~11個9)的高純多晶硅。
不同芯片需要不同類型晶圓,就像是生產不同口味薄脆餅干,根據不同指標,晶圓分為多種類型。
半導體硅晶圓分類[5]
根據工藝,晶圓可粗略地分為拋光片、外延片、SOI片三大類。無論做成什么樣的晶圓,其原點都是拋光片,因為其它類型晶圓均是在拋光片基礎上二次加工的產物,比如在拋光片基礎上進行退火處理就變為退火片,可擁有非常繁雜的分支。
晶圓片主要類型及特點,制表丨果殼硬科技
資料來源丨上海硅產業招股書[6]
不同類型晶圓片生產流程極為復雜:
拋光片生產環節包含拉晶、滾圓、切割、研磨、蝕刻、拋光、清洗等工藝;
相對于其他工藝過程,每片晶圓的每道工藝只需1美元,外延生長每片晶圓大約需要20~100美元,所以外延工藝是集成電路制造中最昂貴的工藝過程之一[7],外延片主要為在拋光片的基礎上進行外延生長;
SOI片主要采用鍵合或離子注入等方式制作。[6]
半導體拋光片、外延片工藝流程圖[6]
SOI片的工藝流程[6]
根據直徑,晶圓又分為2英寸(50mm)、3英寸(75mm)、4英寸(100mm)、5英寸(125mm)、6英寸(150mm)、8英寸(200mm)與 12英寸(300mm)等規格。
晶圓尺寸越大,每片晶圓可制造芯片數量就越多,單位芯片成本就越低。就像一張餅,餅越大,就能切出來越多同樣大小的小塊。
此外,在晶圓上切割芯片,一些邊緣區域無法利用,想象一下,在圓上切方,邊緣不可能切出完整的方形。無論用哪種晶圓生產,芯片尺寸規格都已固定,因此晶圓尺寸越大,晶圓邊緣損失也會越小,大尺寸晶圓可進一步降低芯片成本。
那么,既然圓形的晶圓邊緣有這么多區域無法利用,為什么不做成“晶方”?其實科學家并不是沒有想過這個問題,而是受制于技術限制,成為歷史遺留問題。
首先,單晶生長的硅棒是圓柱形,切割為薄片后即為圓形;其次,圓柱形的單晶硅錠更便于運輸,以免因磕碰導致材料損耗;另外,圓形物體便于后續步驟的操作;最后,即便制作成晶方,一些邊緣仍然不可利用,計算表明,圓形邊緣比方形浪費更少。[8]
全球不同尺寸晶圓出貨面積占比[6]
以8英寸與12英寸硅拋光片為例,在同樣工藝條件下,12英寸晶圓可使用面積超過8英寸晶圓兩倍以上,可使用率(衡量單位晶圓可生產芯片數量的指標)是8英寸硅片的2.5倍左右。[6]
當然,晶圓尺寸越大,就越難造,對生產技術、設備、材料、工藝要求就越多。具體來說,關鍵技術指標包括局部平整度、邊緣局部平整度、納米形貌、氧含量、高度徑向二階導數等,而先進制程對晶圓翹曲度、彎曲度、電阻率、表面金屬殘余量等參數指標有更高要求。
8英寸拋光片與12英寸拋光片對比[6]
不只有硅能做成晶圓,目前,半導體材料已經發展到第四代。第一代半導體材料以Si(硅)、Ge(鍺)為代表,第二代半導體材料以GaAs(砷化鎵)、InP(磷化銦)為代表,第三代半導體材料以GaN(氮化鎵)、SiC(碳化硅)為代表,第四代半導體材料以氮化鋁(AlN)、氧化鎵(Ga2O3)、金剛石(C)為代表。
不過,目前仍有90%以上芯片需使用半導體硅片作為襯底片。
縱觀全球硅片市場,主要由國際廠商占據,市場集中度高,2021年全球硅片市場CR5為94%,排名前五廠商分別為日本信越化學(Shin-Etsu)、 日本勝高(SUMCO)、中國臺灣環球晶圓(Global Wafers)、德國世創(Siltronic)、 韓國鮮京矽特隆(SK Siltron)。[9]
反觀國內方面,技術薄弱、業務規模小、集中度較低,產品多以8英寸及以下為主,國內半導體硅片企業主要包括滬硅產業、中環股份、立昂微、中晶科技、有研硅、麥斯克等,單一廠商市場占有率均不超過10%,且以8英寸及以下尺寸硅片為主。12英寸晶圓是近兩年中國產業重點:比如,粵芯半導體是專注于模擬芯片領域和進入全面量產的12英寸芯片制造企業,計劃總投資370億元[10];再如,增芯科技月加工2萬片12英寸智能傳感器晶圓量產線項目,共投資70億元。[11]
從數據上來看,國產硅片市場規模2019年~2021年連續超過10億美元,2021年達16.56億美元,同比增長24.04%,預計2022年可達19.22億美元。[12]
2021年全球硅片市場格局[9]
從全球第二代半導體(GaAs、InP)襯底和第三代半導體(GaN、SiC)襯底情況來看,國內已擁有大量相關企業,但整體產能規模與國際存在差距。
第二代半導體、第三代半導體晶圓襯底全球市場及國內發展情況對比,制表丨果殼硬科技
前道工藝:設備堆出來工藝
“這里好像我想象中的天堂……只不過有更多的機器人。”這是一位專家對于半導體制造工廠的評價。[14]
首先,有設備才能談制造,在晶圓廠資本開支中,晶圓加工設備的資本開支也最大,占比為70%~80%。[15]
集成電路制造領域典型資本開支結構[15]
芯片生產過程中,有成千上萬臺工藝設備在同時運行,可以說,造設備難,讓這些設備有秩序地生產起來更難。
芯片前期工藝包括光刻、干蝕刻、濕蝕刻、化學氣相沉積、物理氣相沉積、等離子沖洗、濕洗、熱處理、電鍍處理、化學表面處理和機械表面處理等,其中多個工藝會重復使用,非常復雜。
每個前期工藝都對應著相應設備,包括***、涂膠顯影機、刻蝕機、薄膜沉積設備、離子注入設備、熱處理設備(氧化退火設備)、化學機械平攤(CMP)設備、清洗設備、過程檢測設備等。
半導體制造及半導體材料產業環節示意圖[9]
前期加工中,設備主要圍繞制程工藝選型,也就是時常被提起的28nm、14nm、10nm、7nm、4nm、3nm……制程越小,制造越困難,對設備要求也越高。目前,28nm是行業分水嶺,比28nm更先進的是先進制程,反之則是成熟制程。
制程隨摩爾定律迭代,即芯片上晶體管數量每隔18~24個月增加一倍,性能也將提升一倍。
在國際設備和系統路線圖(IRDS)中,全面地反應了各制程節點所需系統級新技術,也就是說,未來幾年內最先進制程需要用到什么設備也已被決定,而IRDS也會伴隨制程升級而不斷更新版本。
IRDS中對于未來制程節點的技術規劃[16]
從價值分量上來看,光刻、刻蝕和薄膜沉積是前期加工中最主要三個環節,2021年***、刻蝕機和薄膜沉積設備(含CVD、ALD、PVD)投資占比分別為20%、25%和22%,合計占比超設備總支出的60%。[17]
2021年全球半導體設備價值量分布[17]
縱觀我國不同設備國產化率,雖然整體有上升趨勢,但整體國產化率依然較低,上游生產能力極弱。
國產半導體制造設備情況概覽,制表丨果殼硬科技
參考資料丨國海證券[18]
以下,果殼硬科技將對***、涂膠顯影機、刻蝕機、薄膜沉積設備、熱處理設備(氧化退火設備)、離子注入設備、化學機械平攤(CMP)設備、清洗設備、過程檢測設備幾類價值分量最高的九種設備進行詳細剖析。
***
***是芯片制造中最龐大、最精密復雜、難度最大、價格最昂貴的設備,光刻成本占芯片總制造成本的三分之一,耗費時間約占整個硅片生產時間的40%~60%,而它也決定了芯片上晶體管能做多小。[19]
光刻設備是一種投影曝光系統,由紫外光源、光學鏡片、對準系統等部件組裝而成[20],其原理是將光掩模版(Mask)上設計好的集成電路圖形(宏觀)通過光線曝光印制到硅襯底光感材料(微觀)上,實現圖形轉移。其中,光掩模相當于是相機底片,它要比芯片大上許多,也是通過光刻而來,不過通常采用無掩模直寫光刻制造。
光刻的思想來源自于印刷技術,不同的是,印刷通過墨水在紙上的光反射率變化記錄信息,光刻則采用光與光敏物質的光化學反應實現對比度變化[21]。打個比方來說,***就是一種巨型單反相機,能夠將光掩模版上圖形縮小幾百萬倍,并通過光化學反應縮小轉印到晶圓上。[22]
光刻技術先后經歷接觸式光刻、接近式光刻、全硅片掃描投影式光刻、分步重復投影式光刻到目前的步進掃描投影式[23],而光源經歷了五次波長迭代:從最初紫外波段的高壓放電汞燈g-line(436 nm)到 i-line(365 nm),發展到深紫外(DUV)波段的準分子激光器KrF(248 nm)以及 ArF(193 nm),再到最先進的13.5nm極紫外光(EUV)。[24]
為什么***那么難造,一個挑戰是進一步提升紫外***性能研制難度高、造價高昂,從第一代***到最先進的第五代***,光源波長已從436nm縮短至13.5nm,除了難以產生光源,光束傳輸中極紫外光的衰減和光學元件表面粗糙控制都是極大難題;另一個挑戰是芯片二維密度無限制提高必然會遇到量子極限,芯片兩條線上電子的運行規律的前提是不相互干擾,而當硅芯片密度在物理尺度上縮小至1nm以下時,將會受到干擾而不再按照經典電子學規律運動,這無疑遭受巨大挑戰。[25]
不止如此,在良率壓力下,還要保證芯片足夠便宜[26]。比如說,英特爾一顆CPU設計文件普遍在10GB以上,而阿斯麥(ASML)的NXT:2050i每小時可曝光295片300mm(12英寸)晶圓[24],Intel Ice Lake系列CPU單12英寸晶圓能切割出大約485顆芯片,這樣情況下每小時極限能夠曝光14. 3萬顆芯片,這樣的制造能力才能夠將單顆CPU成本降至大眾能承受的幾十到上千美元。[25]
此外,光刻系統涉及的技術極為細碎,還包括:
計算光刻:實際生產中很難讓每次光刻模式都完全正確,每一次光刻過程中都可能會發生顆粒干擾、折射或其它物理/化學缺陷,為了得到確切圖案,就需要通過將算法模型與系統和測試晶圓數據相結合,這個過程被稱作計算光刻;[27]
對焦性能:***中核心部件就是鏡頭,這并非一般鏡頭,而是高至2m、直徑1m的龐大鏡頭,這些鏡頭的對焦性能是成像質量和產品良率的關鍵,隨著芯片線寬不斷縮小,加之二次成像(DP)光刻工藝應用越來越多,對***對焦性能要求越來越嚴苛;[28]
工藝優化:制程節點每前進一步,都會伴隨大量工藝優化,比如說,制程工藝從20nm/16nm/14nm開始,設計規則周期已小于***分辨率極限,此時***開始采用雙重或多重曝光技術、光源掩模協同優化、負顯影工藝等工藝;浸沒式光刻技術雖然支持了45nm/40nm、32 nm/28nm、20nm/16nm/14nm、10nm和7nm五個主要技術節點[29],但從5nm開始,到3nm、2.1nm甚至1nm,大多數中后段層次和前段的鰭和柵極的剪切層次都開始采用極紫外光刻工藝實現。[30]
250nm到1nm 技術節點中關鍵光刻層次的設計規則總結[30]
***在半導體設備價值鏈中占比高達20%,目前,業界主要***公司,分別是荷蘭ASML(阿斯麥)、日本Nikon(尼康)、日本Canon(佳能)。[22]
市場方面,ASML、Nikon、Canon三家基本壟斷市場,2022年ASML出貨量占據全球出貨量的82%,Canon占10%,Nikon占8%。其中,ASML***種類齊全,是全球唯一能夠生產EUV***的公司,目前最小制程達到3nm;Nikon集中于DUV***,也可生產浸沒式***;Canon的產品則集中在中低端。[31]
從具體數據來看,2022年,ASML、Nikon、Canon三家集成電路用***總出貨量為551臺,較2021年的478臺增長15%,EUV、ArFi、ArF三個高端機型共出貨157臺,較2021年的152臺增長約3%。此外,EUV***ASML市占率達100%,ArFi***ASML市占率達95%以上,ArF***ASML市占率達87%以上,KrF***ASML市占率達72%以上,i線***ASML市占率達23%以上。[32]
2022年全球半導體***TOP3廠商出貨情況[31]
涂膠顯影機
涂膠顯影(或涂覆顯影)設備雖在結構上比不上***的復雜程度,但也不可或缺,它是光刻過程中必要的設備。[21]
對光刻工藝來說,晶圓上光刻膠涂覆的厚度和均勻性至關重要,直接影響著后續光刻工藝質量,從而影響芯片成品的性能、良率和可靠性[33]。所以,怎么涂好光刻膠是一門學問,負責涂覆光刻膠的設備便是涂膠顯影設備。
不同光源對涂膠顯影設備需求不同,早期低端芯片制造往往單獨使用涂膠顯影設備(Off Line),隨著200mm(8英寸)及以上大型產線投入應用,現代半導體生產中,多數涂膠顯影設備與光刻系統聯線生產(In Line)[34],而它則與光刻技術共進退,正伴隨光刻精度提升而增加技術難度。
涂膠顯影設備隨光刻工藝迭代,技術難度提升[35]
涂膠顯影設備并非一種設備,而是一類設備的稱呼,光刻工藝中涂膠顯影流程包括HMDS(六甲基二硅氮烷,增粘劑)預處理、涂膠、前烘、曝光、后烘、顯影和堅膜,其中用到主要設備有涂膠、曝光和顯影3種設備。
涂膠顯影設備結構復雜,實現難度高,不同廠商對設備結構及形式均有自己的理解,但基本均由單元模塊組成,且功能類似,包含數十個功能模塊組及配套機器人、數百個功能單元、數萬個零部件,如盒站單元CS、盒站機械手臂CSR、工藝機器人手臂PSR、涂膠單元COT、顯影單元DEV、熱烘/冷卻OVEN單元、對中單元CA、邊部曝光單元WEE等,此外,還涵蓋機械運動、溫濕度及內環境控制、系統調度及控制、化學反應及化學品管控等多學科技術。[21]
光刻工藝流程圖[21]
涂膠顯影機在半導體設備價值鏈中占比約為5%,從全球來看,日本TEL(東京電子)、德國SUSS(休斯微技術)、奧地利EVG及國內沈陽芯源等公司均有成熟方案,不過TEL基本處于壟斷地位。
從數據上來看,2019年TEL占據全球涂膠顯影設備近87%市場份額,DNS(迪恩士)和其它企業則占其余13%市場份額;2019年TEL占據國內涂膠顯影設備近91%市場份額,DNS則占5%,國產芯源微產品僅占4%。[35]
2019年全球和中國大陸涂膠顯影行業市場情況[35]
對國產來說,涂膠顯影設備銷售難點在于下游客戶端工藝驗證,由于涂膠顯影設備與***高度聯動,因此設備商需在不影響下游晶圓正常生產情況下,提供***、掩模版、檢測設備及程序等資源配合,驗證流程復雜且冗長,加大廠商應用難度。[36]
刻蝕機
刻蝕機與***是一對好基友,二者都決定著芯片成品的性能,比如說,想要制造5nm芯片,***和刻蝕機都要具有5nm工藝能力。
***的原理是用光將掩模版電路結構復制到晶圓上,刻蝕機則按***復制的結構在晶圓上微觀雕刻出溝槽或接觸孔。打個比方,***就像工匠在木板上劃線,刻蝕機則按照木板上劃線進行雕花。
刻蝕過程中,晶圓會被烘烤和顯影,一些抗蝕劑會被沖走,從而露出開放通道的3D圖案。迄今為止,納米尺度的芯片已由數十層甚至上百層結構組成,在這一過程中,如何保證精確地形成完整穩定的芯片結構是難點,避免在刻蝕過程中破壞多層微芯片底層結構或在結構中創建出空腔。[27]
刻蝕分為濕法刻蝕和干法刻蝕兩種,濕法刻蝕使用化學制劑清洗晶圓,干法刻蝕基于氣體暴露晶圓上圖案。自80年代芯片不斷微縮,濕法刻蝕局限性逐漸凸顯,包括不能運用在3微米以下圖形、容易導致刻蝕圖形變形、液體潛在毒性和污染、需額外清洗和干燥步驟等,因此在特定環節逐漸被干法刻蝕所取代,目前兩種刻蝕機在各自領域發揮重要作用。[37]
干法刻蝕又分為等離子體刻蝕、反應離子刻蝕、離子束刻蝕三種方法,依據其不同特性,應用在工藝步驟中,其中,電容性等離子體刻蝕和電感性等離子體刻蝕兩種設備涵蓋了主要刻蝕應用。
刻蝕機制造技術難度極大,就拿等離子體刻蝕機來講,便需用到電感耦合等離子體源,為保證等離子體質量,需超高的真空度。
三種干法刻蝕方法比較[37]
刻蝕機在半導體設備價值鏈中占比高達25%,市場增速也非常明顯。Transparency Market Research數據顯示,2022年全球半導體刻蝕設備市場約為113億美元,預計從2023年到2031年將以7.6%的復合年增長率增長,到2031年達到217億美元,增長主要驅動力在于刻蝕機在邏輯/存儲領域的重要性越來越強。[38]
刻蝕機被國際巨頭所壟斷,Gartner數據顯示,2021年全球刻蝕設備行業前三名為Lam Research(泛林半導體)、Tokyo Electron(東京電子)、Applied Materials(應用材料),三者總共占據90%以上市場份額,其中LAM市場占有率達46%,處于領先地位。[17]
2021年全球刻蝕設備競爭格局[17]
國內刻蝕機供應多數為國際品牌,而國外刻蝕機在中國售價一般可達每臺幾百萬人民幣,之所以占據這樣的統治地位,是因為早在多年以前,它們就已開始不斷整合兼并,謀取壟斷溢價。比如說,應用材料公司曾與東京電子合并,泛林半導體曾與科磊也謀求合并,試圖強強聯合打造聯合體。[39]
國外刻蝕機主要廠商情況[39]
當然,刻蝕機領域,國產不可能一蹴而就。刻蝕機對加工精度要求極高,比如說,16nm等離子體刻蝕機的加工尺度只有頭發絲的五千分之一,而其對加工精度和重復性的要求更要達到頭發絲的五萬分之一。這并非單獨刻蝕機領域的問題,而是與國內精密加工機床等設備發展相關聯[39]。目前,國內中微半導體、北方微電子、金盛微納科技等公司已逐漸實現主流制程設備出貨,不斷追趕國外巨頭。
國內刻蝕機主要廠商情況[39]
薄膜沉積設備
薄膜沉積(Thinfilm Deposition)是將1μm(微米)或更小分子/原子材料的薄膜覆蓋到晶圓表面的技術,這一層薄膜可以讓原本非導電的晶圓具備導電性。
打個比方來說,就像利用物理或化學的方法,將電子氣體變成固體,從空中均勻地撒下,最終形成一層薄如白紙的膜,隨后精細的電路都會繪制在這張白紙上。[40]
薄膜沉積可以分為物理氣相沉積(Physical Vapor Deposition,PVD)和化學氣相沉積 (Chemical Vapor Deposition,CVD)兩種。
PVD是通過物理方法如真空蒸發、濺射鍍膜等方式形成薄膜,主要用于沉積金屬及金屬化合物薄膜,已廣泛應用于集成電路領域的Ti、TiN、Al等金屬工藝,先進封裝領域的Fan-out、Ti/Cu-CopperPillar、TiW/Au-Gold Bump,功率半導體領域的Si基、SiC基IGBT和GCT等器件,微機電系統領域的Ti、Ni、 NiV、Ag、Al、Cr、TiW、SiO2、ITO等薄膜工藝。
CVD是通過混合化學氣體發生反應,從而向襯底表面沉積薄膜的工藝,主要用于沉積介質薄膜,已廣泛應用于制備SiO2、Si3N4、SiCN、SiON、磷硅玻璃、硼硅玻璃、硼磷硅玻璃等介質薄膜材料,Si、PolySi、Ge、SiGe、GaAs、InP、GaN、SiC等半導體薄膜材料以及W、Al、Cu、Ti、TiN、金屬硅化物等金屬化薄膜材料。[41]
薄膜沉積制備技術類型極多,PVD包括蒸發(蒸鍍)、濺射、離子束工藝設備,CVD則包括熱化學氣相沉積(APCVD、LPCVD、MOCVD)、金屬氣相沉積(MCVD)、等離子體氣相沉積(PECVD)、原子層沉積(ALD)等,根據薄膜所需材料不同,生產所用工藝設備也不同,大致來說:
PVD:150mm硅片時期多以單片單腔室形式為主,而后濺射設備逐漸取代了真空蒸鍍設備,隨IC技術發展,更多技術引入到磁控濺射設備中,射頻PVD設備和離子化PVD設備也同步得到發展;
CVD:微米時代,多采用常壓化學氣相沉積設備(Atmospheric Pressure CVD,APCVD),亞微米技術主流設備則是低壓化學氣相沉積設備(Low Pressure CVD,LPCVD),90nm以后等離子體增強化學氣相沉積設備(Plasma Enhanced CVD,PECVD)扮演主要角色,65nm以后原子層沉積(Atomic Layer Deposition,ALD)需求量不斷提升。[41]
主要薄膜沉積方法[42]
薄膜沉積在制造設備中價值比重很高,其中CVD約為17%(ALD為4%),PVD約為5%,與此同時,薄膜沉積設備行業依然是壟斷度較高的產業。
全球市場方面,CVD領域美國應用材料(AMAT)、泛林半導體(Lam Research)、東京電子(TEL)三家合計包攬全球70%市場份額,其中先進制程所必需的ALD設備由東京電子(TEL)、先晶半導體(ASM)兩家公司包攬全球近50%市場份額;PVD領域主要被美國應用材料(AMAT)、瑞士Evatec、日本愛發科(Ulvac)所壟斷,其中應用材料占比近85%。[42]
CVD、PVD、ALD全球市場格局[41]
國內在薄膜沉積領域的競爭方式與國外巨頭不同,國外巨頭產品豐富,技術覆蓋面廣,而國內則主要在細分領域進行差異化競爭,如拓荊科技、中微主要產品為CVD,北方華創主要產品是PVD,微導納米主要產品是ALD,盛美半導體主要產品是電鍍產品。[41]
熱處理設備
芯片制造過程中,有許多涉及700℃~1200℃的高溫熱處理步驟,這些工藝通常在高溫爐中進行,包括氧化、擴散、退火等主要工藝。[43]
芯片制造過程通常由氧化工藝開始,也是最重要的加熱過程之一。當晶圓暴露在大氣時,其中物質會與氧氣形成氧化膜,就像鐵暴露在大氣中會氧化生銹一樣。因此,氧化的作用就是在晶圓表面形成一層保護膜,保護晶圓不受化學雜質影響、避免漏電流進入電路、預防離子植入過程中的擴散、防止晶圓在刻蝕時滑脫。[44]
氧化工藝包括熱氧化(Thermal Oxidation)、等離子體增強化學氣相沉積法(Plasma Enhanced Chemical Vapor Deposition,PECVD)和電化學陽極氧化等,其中熱氧化是最常用的方法。根據氧化反應使用氣體,熱氧化法又分為干氧化(Dry Oxidation)和濕氧化(Wet Oxidation)。[45]
氧化工藝分類及特性,制圖丨果殼硬科技
參考資料丨三星[45]
擴散工藝主要作用是在高溫條件下對晶圓摻雜,不過這主要存在于20世紀70年代前的早期工藝,彼時芯片圖形特征尺寸大多為10μm數量級,而現在先進的芯片生產中,除了特定情況已很少使用擴散摻雜工藝。
退火工藝則是將硅片放于高溫環境一段時間,使其表面或內部微觀結構發生變化,它通常與離子注入、薄膜沉積、金屬硅化物形成等工藝結合。
用于氧化、擴散、退火等加熱工藝的基本設備有臥式爐、立式爐和快速升溫爐(RTP)三種。
熱處理設備在半導體設備價值鏈中占比約3%,全球熱處理設備市場則被寡頭壟斷,美國應用材料(Applied Materials)、東京電子(Tokyo Electrion)、日本同業國際電氣(Kokusai Electric)三家合計市場份額超過80%,而國內非激光退火類設備屹唐半導體市占率5%,北方華創市占率0.2%。[46]
2018年全球熱工藝設備競爭格局[47]
離子注入設備
讓不導電的純硅成為半導體,就必然需要向硅內加入諸如氮、磷等物質,使之形成PN結(PN junction,一種半導體結構),再以此創建晶體管,形成各種半導體器件。其中,人為向硅內加入元素的過程就是摻雜(Doping)。
摻雜工藝十分重要,只有摻雜之后,晶圓才會具有導電性能,才能稱之為半導體。不僅如此,摻雜也決定了半導體能夠實現哪些功能或性能,通過改變半導體的電導率、載流子類型和濃度、能帶結構等電學性質,人們就可以自由自在地控制半導體。
就比如說,向硅材料內摻入五價元素磷或砷,就可以得到N型半導體,摻如三價元素硼,就可以得到P型半導體。
芯片制造中,可在前期單晶生長階段摻入雜質,如在CVD法生長過程中摻入特定施主或受主元素,使薄膜部分原子替換為對應元素。
對于已經制造出來的晶圓,則主要使用熱擴散(Diffusion)和離子注入(Implant)兩種工藝進行摻雜,在本文熱處理設備部分已提到,熱擴散工藝因其難以實現選擇性擴散,所以除特定工藝外,基本被離子注入所取代。
離子注入的原理非常簡單易懂,就是利用高能量電場加速雜質離子,直接轟擊到半導體表面,最終擠入晶體內部。離子注入設備就像神槍手一樣,把各種元素精準均勻地射擊至圓片內部,而這也正是離子注入設備的技術難點,即在不損傷微小結構的前提下精準控制摻雜劑量和摻雜深度(粒子射程)。
根據離子束能量范圍和束流大小,離子注入設備擁有低能、中能、高能、兆伏、小束流、中束流、高束流之分。不過實際應用中,60%以上情況使用低能大束流離子注入設備,用來制造邏輯芯片、DRAM、3D NAND和CIS芯片等;18%使用高能離子注入設備,用于制造功率器件、IGBT、5G射頻、CIS等;20%使用中束流離子注入設備。[48]
離子注入設備分類及說明,制圖丨果殼硬科技
參考資料丨頭豹[49]
離子注入設備在制造設備中價值比重并不大,約為3%,2021全球離子注入設備市場約為24億~26億美元,長期估計2030年市場可成長至42億美元。[50]
全球離子注入設備商僅有9家(包含半導體、光伏、面板),具體到半導體領域則被美國應用材料公司(AMAT)和美國亞舍立科技(Axcelis)所壟斷,兩家公司合計全球市場占有率將近88%。[49]
全球離子注入設備市場情況,制圖丨果殼硬科技
參考資料丨中銀證券[50]
國內市場方面,僅有凱世通和中科信兩家可生產離子注入機,此外,美國應用材料公司(AMAT)、美國亞舍立科技(Axcelis)、美國AIBT、日本住友(Sumitomo)等供應商包攬了國內大多數晶圓廠的市場份額,部分代表性晶圓廠國產化率僅1%~3%。[50]
CMP設備
隨著制程不斷縮小,衡量晶體管的尺度越來越小,所以晶圓對平坦度要求也變得越來越高,這種情況下,就需要化學機械平坦(Chemical Mechanical Polishing,CMP)工藝,實現晶圓表面平坦化。
顧名思義,CMP就是協同化學腐蝕和機械研磨的一種工藝,與傳統純機械與純化學不同,CMP能夠有效避免純機械的表面損傷和純化學的拋光速度慢、表面平整度和拋光一致性差等缺點[51],這項工藝會在芯片制造中多次重復使用,包括光刻前、薄膜沉積后或先進封裝中。
可以說,制程節點越小,需求CMP次數越多。如從180nm到14nm,CMP工藝步驟數將從10次增加至20次以上,而7nm工藝中CMP步驟數甚至超過30次。[52]
CMP設備分為金屬和非金屬兩種機臺,非金屬機臺主要包括金屬間介平坦化 (IMD CMP)、層間介質平坦化 (ILD CMP)和淺溝槽隔離平坦化 (STI CMP)等,金屬機臺主要包括銅、鎢、鋁等。
CMP設備由拋光、清洗、傳送三大模塊構成,并其作業過程中,拋光頭將晶圓待拋光面壓抵在粗糙拋光墊上,借助拋光液腐蝕、微粒摩擦、拋光墊摩擦等實現全局平坦化,因此拋光液和拋光墊是工藝過程中兩大耗材。[53]
CMP技術是從0.35μm制程節點才開始大規模應用的新工藝,曾經,它也只是一個不被看好的丑小鴨。
20世紀90年代初期,由于光刻對于平坦度的追求愈發強烈,CMP應運而生并被用于后端(BEOL)金屬連線層間介質的平整,此時這項技術并沒有引起行業的關注。20世紀90年代中期,0.35μm制程工藝時期,淺槽隔離拋光(STI CMP)取代了LOCOS,鎢拋光(W CMP)則取代了反刻蝕(etch back)。21世紀初,銅拋光(Cu CMP)的出現,使得0.13μm后端銅制程變為現實,而后Cu CMP一直被延續使用到90/65/45/32/28/22nm。近年,隨著制程節點不斷縮小,對于CMP要求更高,新的CMP應用層出不窮。[54]
CMP技術發展歷史,參考資料丨《納米級稱電路制造工藝》[54],果殼硬科技重置
目前,最先進的5/3nm制程也仍然在采用CMP技術,同時12英寸晶圓是仍是最先進制程所采取的尺寸標準,因此CMP設備未來較長時間內不存在技術迭代周期,但設備中核心模塊技術和控制系統會不斷升級。[55]
CMP設備在半導體設備價值鏈中占比約為3%,而美國應用材料和日本荏原合計包攬全球CMP設備超90%市場份額,兩家公司CMP設備均已達到5nm制程工藝水平,我國絕大部分的高端CMP設備也由美國應用材料和日本荏原提供。[55]
CMP設備全球市場情況,制表丨果殼硬科技
參考資料丨國金證券[56]
國內方面,目前主要為中低端產品,12英寸高端CMP設備處在產品驗證階段,其中,華海清科CMP設備已正式進入集成電路生產線,盛美半導體CMP設備主要用于后段封裝的65~45nm銅互聯工藝,由中電科45所CMP技術專家創業建立的杭州眾德公司也正逐漸邁向新一步。[51]
清洗設備
半導體中的清洗技術是指在氧化、光刻、外延、擴散和引線蒸發等半導體制造工序前,采用物理或化學方法,清除污染物和自身氧化物的過程。
芯片有著嚴重的潔癖,這是因為沾污帶來的缺陷引起的芯片電學失效,比例高達80%[57]。假若在晶圓制造環節中有污染物未能完全清除,輕則影響晶圓良率,重則導致一整片乃至成批晶圓報廢。
清洗能夠貫穿了芯片制造的全產業鏈,占據30%以上的半導體制造工序步驟。SEMI數據顯示,在80nm~60nm制程中清洗工藝共有約100個步驟,而到了20nm~10nm 制程中清洗工藝增加到200個步驟以上[58]。也是重復次數最多的工序,包括三類工序:
在硅片制造過程:清洗拋光后的硅片,保證表面平整度和性能,提高后續工藝的良品率;
在晶圓制造過程:在光刻、刻蝕、沉積、離子注入、去膠等關鍵工序前后清洗,減小缺陷率;
在芯片封裝過程:根據封裝工藝進行TSV清洗、UBM/RDL清洗、鍵合清洗等。
根據清洗介質的不同,半導體清洗分為濕法清洗和干法清洗,前者采用去離子水和化學溶劑,輔以超聲波、加熱、真空等物理方法,對晶圓表面進行清洗,后者不使用化學溶劑的清洗技術。其中,90%的清洗步驟使用的都是濕法清洗技術,不過二者缺一不可,各自發揮不同作用。
清洗設備在半導體設備價值鏈中占比約為6%,從國際和國內清洗設備現狀來看,馬太效應顯著。全球半導體清洗設備市場呈現高度集中的態勢。Gartner數據顯示,DNS(迪恩士)、TEL(東京電子)、SEMES與LAM(泛林半導體)分別占據2020年全球半導體清洗設備市場份額的45.1%、25.3%、14.8%和12.5%。[59]
國內能提供半導體清洗設備的企業非常少,主要包括盛美半導體、北方華創、芯源微及至純科技四家公司,目前四家國產企業均已具備130nm~28nm主流制程清洗設備技術,其中盛美半導體已在研7/5nm清洗設備技術。
相比其它種類半導體設備,清洗設備國產增速明顯,國產化率從2015年的15%提升到了2020年的20%[60]。國內短板主要在于先進濕法清洗設備,DNS(迪恩士)、TEL(東京電子)、LAM(泛林半導體)與SEMES四家公司就包攬了單片清洗設備市占率的90%。
檢測和量測設備
集成電路生產工藝復雜,僅前道制程就存在數百道工序,量變引發質變,每道工序的缺陷都會隨時間推移而被放大到數倍甚至數十倍,所以只有保證每道工序都不存在缺陷,才能保證最終成品的性能。
換句話說,生產每走一步,就要用查看一次生產情況。就像醫療領域的CT、彩超、生化分析儀等輔助檢測身體狀況的設備一樣,這些給芯片前道工藝“體檢”的工具就是檢測和量測設備。
幾納米的誤差、尺寸變化、顆粒或圖像錯誤,都會導致芯片無法正常工作,假若前道工藝每道工藝良率損失0.1%,最終良率就會降低到36.8%[61]。檢測和量測設備作為前道檢測兩大設備,能夠有效控制制造過程,提高產量。
檢測設備:用于檢測晶圓表面缺陷(包括異物缺陷、氣泡缺陷、顆粒缺陷等),分為明/暗場光學圖形圖片缺陷檢測設備、無圖形表面檢測設備、宏觀缺陷檢測設備等;
量測設備:用于測量透明/不透明薄膜厚度、膜應力、摻雜濃度、關鍵尺寸、光刻套準精度等指標,對應設備分為橢偏儀、四探針、原子力顯微鏡、CD-SEM、OCD-SEM、薄膜量測等。[62]
半導體檢測和量測設備研發難度高,投入大,但市場空間不如中下游集成電路或芯片那般大,且增速較為平穩。不過,它在制造設備中價值比重約為12%,相對占比較大。
數據顯示,全球半導體量測設備將從2021年的73億美元提升至2031年的133億美元,年復合增長率6.2%,同時這一領域全球集中度極高,科磊半導體(KLA)、應用材料(Applied Materials)、日立(Hitachi)三家全球市場占比分別為50.8%、11.5%、8.9%。[63]
我國半導體檢測與量測設備國產化率極低,2020年我國半導體檢測和量測設備國產化率約為2%,科磊半導體、應用材料、日立三家公司分別占據我國檢測和量測設備市場的54.8%、9.0%、7.1%。而我國整體市場占全球市場約27.4%,根據推算,2023年我國檢測和量測設備市場規模能夠達到326億元。[64][65]
2020年全球半導體檢測和量測設備市場格局[62]
前道工藝:材料消耗大戶
材料是生產芯片的基石,從古至今,歷史的發展與材料密切相關,各個時代都以相應的材料名稱作為其標志,如石器時代、陶器時代、青銅器時代、鐵器時代、瓷器時代[66],而放眼當下,卡脖子的35項技術幾乎都與材料有關。[67]
半導體行業無疑是把材料玩出花的行業,涉及的材料品類和需求量都非常大,而這些材料也會是前期加工至關重要的一環。
半導體材料分為晶圓制造材料和封裝材料兩種,前期加工消耗的材料主要包括硅材料、靶材、CMP拋光材料、光刻膠、濕電子化學品、電子特種氣體、光掩膜等。[9]
SEMI數據顯示,全球半導體材料占整體行業市場規模從2015至2021年呈先降后升的趨勢,2021年全球半導體材料市場約占全球半導體產業總規模的11.56%[9]。2022年全球半導體材料市場銷售額達727億美元,相比2021年的668億美元增長8.9%,其中晶圓制造材料和封裝材料銷售額分別為447億美元和280億美元,分別占全球材料市場銷售額的61.5%和38.5%。此外,2022年中國大陸材料銷售額為129.7億美元,占全球市場約17.8%。[68]
2021年全球半導體材料分類規模占比[9]
硅材料
半導體行業的硅料消耗量極大。統計顯示,2015年~2021年國內半導體硅料市場規模從101.6億元升至250.5億元,復合增長率達16.2%,目前,國內半導體硅料生產企業技術水平進步明顯,且能夠在國內市場維持較高占比。[9]
2015年~2021年中國硅材料市場規模柱狀圖[9]
半導體硅料價格與半導體硅片行業景氣度掛鉤,SEMI數據顯示,硅料價格從2016年0.67美元/平方英寸增長至2021年價格0.98美元/平方英寸。[9]
全球半導體硅片平均售價情況[9]
掩模版
光掩模是光刻工藝中重要材料,用于選擇性阻擋曝光、輻照或物質穿透的掩蔽模版。簡單解釋來說,掩模版是光刻過程中的底片,能將上面的圖案復制到晶圓上。按用途,掩模版分為主掩模、中間掩模、工作掩模、移相掩模等。
如今媒體甚至正式刊物中,經常出現錯誤的寫法,如“掩膜版”或者“掩模板”,實際上,它的規范寫法是“掩蔽模具”的“模”,不應該寫成“薄膜”的“膜”,同時掩模版的“版”是“出版的版”,而非“板材”的“板”。[26]
掩模版自身,也是由光刻工藝而來,但與芯片不同,掩模版本身要比芯片大得多,所以通常不會使用DUV、EUV光刻那樣又難又貴的光刻技術,而是采用光學圖形發生器、激光圖形發生器和電子束圖形發生器進行掩膜圖形曝光。[26]
掩模版約占12%全球半導體材料市場,據推算,2021年全球掩模版市場規模為77.16億美元,其中24%為顯示面板用掩模版,65%為集成電路用掩模版。[69]
平板顯示方面,Photronics(福尼克斯)、SKE、HOYA(豪雅)、LG-IT、清溢光電、路維光電分別占據2020年全球市場份額的24%、22%、21%、21%、7%、5%;集成電路方面,全球65%的市場是由半導體廠商自行生產(如英特爾、三星等),第三方公司Photronics(福尼克斯)、Toppan(凸版印刷)、DNP(大日本印刷)分別占據2020年全球市場份額的11%、10%、8%。[70]
2020年全球掩模板市場,制表丨果殼硬科技
參考資料丨安信證券[70]
光刻膠
光刻膠,又稱“光致抗蝕劑”,是光刻成像的承載介質,可利用光化學反應將光刻系統中經過衍射、濾波后的光信息轉化為化學能量,從而把微細圖形從掩模版轉移到待加工基片上。其被廣泛應用于光電信息產業的微細圖形線路的加工制作,是微細加工技術的關鍵性材料。
一言以蔽之,光刻膠是光刻工藝最重要的耗材,其性能決定了加工成品的精密程度和良品率。
從用量上來說,溶劑(主要為丙二醇甲醚醋酸酯,簡稱PMA)是用量最大的材料,含量最高可達90%,但在成本上并不突出,且不起關鍵作用;作為光化學反應的核心部分,光引發劑的用量僅有約1%~6%;樹脂則在不同光刻膠產品中的用量區別很大。[71]
從成本看,在半導體光刻膠領域,越先進的工藝,樹脂成本占比越高:以 KrF(氟化氪)光刻膠為例,樹脂成本占比高達約75%,感光劑約為23%,溶劑約為2%。[72]
根據曝光波長不同,半導體光刻膠可進一步分為普通寬普光刻膠、g線(436nm)、i線(365nm)、KrF(248nm)、ArF(193nm)、以及最先進的 EUV(<13.5nm)光刻膠。
其中,ArF***涉及干法和浸沒式兩種工藝(區別在于鏡頭和光刻膠之間的介質是空氣還是液體),ArF光刻膠也對應分為干法和浸沒式兩類。EUV光刻膠則是制造難度最高的產品,也是7nm及以下制程芯片加工過程中的核心原材料。
2019年全球光刻膠市場規模預計約91億美元,自2010年至2019年年復合增長率約為5.4%,推算2021年數據為百億左右;同期中國本土光刻膠市場規模約在88億人民幣左右[73]。而其中,全球的半導體光刻膠市場規模約為17.5億美元。[74]
細分市場方面,ArFi光刻膠(即浸沒式ArF光刻膠)和KrF光刻膠市場份額最大,均在30%以上,其次是g/i光刻膠,市場份額約為17%,EUV及其它類型半導體光刻膠合計僅有1%左右。但從未來發展看,作為代表著先進集成電路發展趨勢的EUV光刻的關鍵耗材,EUV光刻膠對將芯片制程推進至5nm以下起關鍵作用,成長性無疑是最好的。[75]
整體業態方面,全球光刻膠市場高度集中,日美把控著絕大部分市場份額。日本的JSR、東京應化、信越化學及富士膠片四家企業占據了全球70%以上的市場份額,整體壟斷地位穩固。[75]
全球光刻膠市場份額[75]
全球半導體光刻膠市場中,日本企業穩居壟斷地位。2020年,日本企業在全球半導體光刻膠市場中占據的份額至少在60%以上,其中東京應化以25.6%的市場份額占據龍頭地位;美國杜邦位列第二,市場份額為17.6%。細分市場中,2020年,日本東京應化在g/i線、KrF和EUV光刻膠市場的份額位列全球第一;JSR則以24.9%的市場份額把持著ArF光刻膠市場。[74]
2020年全球半導體光刻膠行業份額[74]
國內方面,相對低端的PCB光刻膠仍然占國內94%左右供應,而高端面板光刻膠與半導體光刻膠則非常之少[76]。具體到半導體,目前適用于6英寸硅片的g線、i線光刻膠的自給率約為10%,適用于8英寸硅片的KrF光刻膠自給率不足5%,而適用于12寸硅片的ArF光刻膠基本依賴進口,更先進的EUV則連研發都處于相當早期的階段[77]。產能上,國內企業的產品,僅g/i線光刻膠實現批量應用,KrF僅少數研發進度領先企業實現小批量應用。
電子氣體
任何電子產品都逃不開電子氣體,它是重要的基礎性原材料,被喻為工業制造的“血液“或”糧食”。電子氣體僅占芯片總成本的5%~6%[78],但下游廠商對電子氣體的需求具有剛性和穩定性,導致對價格的敏感度較低,從而使電子氣體擁有較強的成本轉嫁能力。
電子氣體分為電子特種氣體和電子大宗氣體兩大類[79],二者對制造都極為重要。電子大宗氣體與特種氣體應用廣泛,涉及集成電路制造、光伏制造、顯示面板制造等領域,不同領域間所用氣體存在一定交集。其中,三氟化氮(NF3)、硅烷(SiH4)和氨氣(NH3)是集成電路制造、光伏制造、顯示面板制造領域的三大主要氣體。
電子產品都是大胃王,一款產品需要幾十甚至上百種電子氣體。以集成電路為例,涉及電子氣體約100多種,核心工段約40~50種[80]。雖然每種氣體用量并沒有很大,但種類繁多,整體用量就變大了。從全球市場占比來看,硅烷約為22%,三氟化氮為13%,離子注入氣為10%,氟碳類為6%,六氟化鎢為4%,笑氣為4%,鍺烷為3%,高純氨為3%以及大量其它氣體。[81]
各制造領域所需氣體情況,制表丨果殼硬科技
目前,美國空氣化工、德國林德集團、法國液化空氣、日本大陽日酸四家海外巨頭占據了全球約九成市場[82]。我國所用電子氣體以外資為主,雖然國內部分企業已具備生產高純電子氣體的能力,卻很難進入集成電路領域[83],集成電路所用的電子特氣我國僅能生產約20%品種[84],國產化率不足15%[85]。與此同時,國內高端電子特氣幾乎全部依賴進口。[86]
迄今為止,國內電子氣體玩家分為三類:
第一類是以工業氣體為主營業務,產品覆蓋到部分品種電子氣體,代表公司有華特氣體、金宏氣體;
第二類是專注深耕電子特氣的公司,代表公司有派瑞特氣、昊華科技、華宇同方;
第三類是電子材料平臺型公司,除電子氣體外,業務還涉及其它電子材料,代表公司有雅克科技、南大光電。[87]
我國電子大宗氣體2020年市場規模為1632億元,同比增長10.49%,預計2023年有望突破2000億元,達到2172.2億元,由于細分行業壁壘高,目前國產化率較低[88];2021年中國特種氣體市場規模預計達342億元,其中電子特氣預計達216億元,電子特氣占特種氣體接近6成。[82]
對比國內外發展情況,國際廠商供應客戶均為尖端制造廠商,產品品種覆蓋面更廣,供氣模式也更豐富,大部分可提供TGM供氣模式。國內則缺乏高端氣體技術,同時僅少部分公司擁有TGM模式。從技術角度來看,雖然我國已在氣體提純技術、容器處理技術、氣體充裝技術和檢測技術上達到國際通行標準[89],但整體水平仍與國際存在差距。
工藝化學品
工藝化學品(Process Chemicals)是國際的一種統稱,在美國、歐洲和中國臺灣地區又被稱為濕化學品,而在國內則也“電子級試劑”“超凈高純化學試劑”等更精準的表達方式。本文主要使用濕電子化學品這種行業通用的稱呼。
濕電子化學品極為重要,SEMI(國際半導體設備與材料協會)也將其單門劃分為一類進行統計,它影響著集成電路的成品率、電性能及可靠性[90]。此外,從28nm到5nm總工藝步驟將由400次增加至1200以上,其中清洗工藝占總工藝25~30%,進一步帶動高端濕電子化學品需求量。[91]
顧名思義,濕電子化學品是用于光刻、刻蝕、離子注入、CMP、清洗等制造工藝中的各種液體,可劃分為通用濕電子化學品和功能濕電子化學品兩類。
通用濕電子化學品是制造工藝中被大量使用的液體化學品,一般為單成份、單功能化學品,如氫氟酸、硫酸、氫氧化鈉、氫氧化鉀等;
功能濕電子化學品是滿足制造特殊工藝需求的復配類化學品,如顯影液、剝離液、蝕刻液、稀釋液、清洗液等。[92]
濕電子化學品的分類與具體產品[92]
與電子氣體類似,濕電子化學品也追求高純度。目前,全球均執行SEMI國際標準,根據金屬雜質、控制粒徑、顆粒數、IC線寬分級,不同分級適用應用不同。
濕電子化學品SEMI國際標準等級[92]
目前,國際大規模濕電子化學品生產企業包括德國巴斯夫(Basf)、美國亞什蘭(Ashland)、美國Arch化學、美國霍尼韋爾(Honeywell)、美國Mallinckradt Baker、日本關東化學、日本三菱化學、日本京都化工、日本住友化學、日本和光純藥工業 (Wako)、日本stella-chemifa、韓國東進等。我國主要企業則包括多氟多材料、江陰江化微、江陰潤瑪、蘇州晶瑞、浙江凱盛氟、滄州信聯、無錫三開、鎮江潤晶等。[93]
全球濕電子化學品主要企業及情況,制表丨果殼硬科技
參考資料丨格林達招股書[92]
數據顯示,2022年全球集成電路用濕化學品市場規模為56.90億美元,2025年則可增長至63.81億美元,其中中國總體市場規模將在2025年增長至10.27億美元。[91]
雖然我國濕電子化學品已在太陽能電池領域已實現國產替代,但在半導體和平板顯示領域市占率僅有23%和35%,此外,2019年中國大陸企業在超凈高純化學品市場供應上僅占中國市場的9%,超凈高純試劑無論是在質量上,還是數量上都難以滿足電子工業需求。[94]
2019年中國超凈高純化學品市場供應格局[94]
濺射靶材
濺射靶材是沉積薄膜的原材料,而濺射(Sputtering)工藝則屬于物理氣相沉積(PVD)技術的一種。
濺射靶材由靶坯、背板等部分構成,其中靶坯屬核心部分,是高速離子束流轟擊的目標材料。
濺射靶材的分類[95]
濺射靶材應用廣泛,包括半導體芯片、平板顯示、太陽能電池等。針對不同領域,也對金屬材料提出了不同性能要求。
一般來說,半導體芯片對濺射靶材要求最高,其對金屬材料純度、內部微觀結構等方面設定了嚴苛標準,包括鋁靶、鈦靶、銅靶、鉭靶、鎢鈦靶等,純度要求一般在5N(99.999%)以上。
超高純鋁及其合金是目前使用最廣泛的半導體芯片配線薄膜材料之一;
超高純鈦主要作為阻擋層薄膜材料之一,鈦靶材及環件與超高純鈦靶材配套應用于130nm~5nm工藝;
超高純鉭是阻擋層薄膜材料,鉭靶材及環件應用于90nm~3nm等最尖端工藝中;
超高純銅及銅錳、銅鋁合金靶材是目前使用最廣泛的先端半導體導電層薄膜材料之一,銅及銅合金作為導電層通常用于90nm~3nm技術節點的先端芯片中。[96]
濺射靶材對金屬材料選擇和性能要求[95]
濺射靶材產業各環節參與企業數量基本呈金字塔型分布,最高端應用的高純濺射靶材難度極高,僅日礦金屬、霍尼韋爾、東曹、普萊克斯等少數幾個美日企業能夠生產[95],四家公司合計占據80%以上全球市場。[97]
此外,濺射靶材各國發展也不同。美日企業擁有最完整的濺射靶材產業鏈,韓國、新加坡則在磁記錄及光學薄膜領域有所特長,我國則起步較晚,主要擁有江豐電子、有研新材、阿石創、隆華科技四家企業,目前已有部分企業初步實現高端應用濺射靶材。
全球半導體靶材和超高純濺射靶材市場情況[97]
CMP拋光材料
CMP拋光液是CMP過程重要耗材,約占CMP成本的50%,主要由磨料、去離子水、PH值調節劑、氧化劑及分散劑等添加劑組成,其中納米磨料是決定性原料,多為硅溶膠、SiO2、CeO2、納米級Al2O3顆粒等。[90]
2022年全球晶圓制造用拋光液市場預計超20億美元,2026年可達到26億美元。國內方面,增速有望高于全球市場,2025年國內拋光液市場有望占全球市場的25%,達40億元人民幣,2021年~2025年復合增長率達15%。[91]
目前,全球僅有少數幾家化學機械拋光漿料供應商,包括Cabot、Versum、Hitachi、Fujimi和Dow五家美日廠商,五家廠商合計占據2018年全球CMP拋光液近八成市場份額,而國內僅安集科技僅占全球2.44%市場份額[98]。國內市場Cabot也占據了大部分市場,而其磨料直徑可達15~20nm。
2018年CMP拋光液全球及中國市場競爭格局[98]
反觀國內,CMP拋光液國產化率約5%,主要企業包括安集微電子、上海新安納電子、北京國瑞升科技。其中,安集微電子部分產品成功進入國內外8英寸和12英寸芯片生產線,上海新安納電子作為二氧化硅納米磨料供應商,產品已成功應用于8英寸和12英寸晶圓拋光,海新安納則在存儲拋光液上取得進展。[13]
后道工藝:讓加工品成為芯片
當前期加工完畢,離芯片就只差一步,此時剛剛從晶圓上切下來的芯片只是裸芯片(die),需要進一步封裝,才能稱之為芯片,最后經過測試的芯片才能出廠到我們手里。
在行業中,封裝和測試多被劃入一個領域,即封測 (Semiconductor assembly and test manufacturing,ATM) ,工藝流程包括劃片、裝片、 鍵合、塑封、去飛邊、電鍍、打印、切筋和成型、外觀檢查、成品測試、包裝出貨等。[99]
簡單來說,就是在一顆顆芯片從刻好電路的晶圓上切割下來前,測試一遍各種參數,通過測試后,再像裝香腸一樣,封裝成芯片,之后再測試一遍芯片的性能。
按國際主流思想,芯片制造成本中,設計約占三分之一,芯片制造約占三分之一,而封裝和測試也占約三分之一,是芯片制造中重要一環[100]。而其中,封裝環節的價值占半導體封測比例約80%~85%,測試環節占15%~20%。[101]
半導體封測是我國最早轉型的制造環節,迄今為止,它已成為我國集成電路產業鏈中相對成熟的環節。早在2010年,我國就已在封裝測試環節實現632億元的銷售額,其產值一度占據我國集成電路產業總產值的70%以上。[99]
與前道工藝相同,封裝和測試也需要設備和材料。根據SIA分類,后道工藝主要包括封裝設備和測試設備兩類,耗材則主要是封裝材料。[60]
封裝設備
芯片又小又薄,如若不施加保護,很容易便會刮傷損壞。封裝就相當于是給芯片做了一層保護殼,并預留好各種接線引腳,方便后期連接使用。[102]
封裝的方式多種多樣,傳統封裝會耗費相當大體積,此外,當不同功能集成電路都整合在一起時,電路的間距越小,性能才會越好,所以行業不斷探索更為先進的封裝方式。通俗來講,就是把這層殼做得越來越輕薄,越來越緊湊。
從上世紀70年代起到現在,誕生了大量封裝方式,呈現出百花齊放的態勢。
從創新角度看,封裝分為傳統封裝和先進封裝。傳統封裝包括最初的直插型封裝DIP、小外形封裝SOP、方型扁平式封裝QFP、球柵陣列封裝WB BGA等;先進封裝相比傳統封裝,效率更高、性能更好、成本更低,同時以小型化、輕薄化、窄間距、高集成為特點,包括倒裝FLIP-CHIP、晶圓級封裝WLCSP、扇出型封裝INFO以及2.5D/3D等。[103]
全球半導體封裝技術發展五大階段[101]
相比傳統封裝,先進封裝無疑才是未來發展的重點,它是突破"存儲墻""面積墻""功耗墻""功能墻"這"四堵墻"的關鍵。早在2012年就有研究預言,采用2.5D和3D封裝技術的集成電路將從2012年約6000萬顆發展到2016年超5億顆。[100]
此外,先進封裝也Chiplet(小芯片,又稱芯粒)息息相關。Chiplet指的是將單顆片上系統級芯片(SoC)的特定功能分散成一個個小芯片,再利用封裝技術整合在一起,構成多功能異構系統級封裝(SiP),就像搭積木一樣,可以有效縮短產品開發周期,降低整體成本,提高器件算力,提升產品良率。[104]
集成電路發展路線圖[105]
目前,芯片封裝存在多種玩家,包括整合元器件制造商(IDM)、晶圓代工廠(Foundry)以及委外封測代工廠(OSAT)。
當芯片制程發展逐漸觸及摩爾定律的底線,3D封裝、扇形封裝(FOWLP/PLP)、微間距焊線技術以及系統封裝(SiP)成為延續摩爾定律的可選項,封測行業也逐漸從傳統封測向先進封測過渡,先進封裝技術在市場上的占比不斷提升。
Yole數據顯示,2021年全球先進封裝市場規模為374億美元,預計2027年可達650億美元,年復合增長率達9.6%,此外,先進封裝市場增長將更為顯著,成為全球封測市場主要增量。[106]
2021年~2027年先進封裝概覽[106]
從IDM和Foundry角度來看,巨頭們是先進封裝技術的引領者,不斷搶灘技術創新。臺積電相繼推出基板上晶圓上的芯片(Chip on Wafer on Substrate,CoWoS)封裝、整合扇出型(Integrated Fan-Out,InFO)封裝、系統整合芯片(System on Integrated Chips,SoIC)等;英特爾推出EMIB(2.5D)、Foveros(3D)和Co-EMIB等先進封裝技術;三星電子推出扇出型面板級封裝(Fan-Out Panel Level Package,FOPLP)技術。
從全球委外封測(不包含IDM自有封測和晶圓代工公司提供封測)角度來看,芯思想研究院(Chip Insights)數據顯示,2022年全球委外封測整體營收為3154億元,同比增長9.82%,其中前十強營收達2459億元,同比增長10.44%。[107]
2022年全球封測前十市場占有率[106]
2020年,我國封裝市場規模達到2509.5億元,其中先進封裝市場規模351.3億元,占比例約14%,預計2025年我國先進封裝市場規模將達到1137億元,占比將達32.0%。[108]
封裝工藝流程較長,包括劃片、裝片、鍵合、打線、塑封、電鍍、上球、打標、切筋成型等工序[109],每種工序都需要一種設備,主要包括磨片機、劃片機、固晶機、鍵合機、塑封設備、打標設備等。
從細分市場來看,貼片機、劃片機、引線機三大主要封裝設備占全球封裝設備市場份額分別為30%、 28%、23%,據推算2021年貼片機、劃片機、引線機對應市場空間分別為21.0億美元、19.6億美元、16.1億美元。[110]
半導體封裝設備細分市場情況[110]
SEMI數據顯示,2021年全球半導體封裝設備市場規模為71.7億美元[111],其中大部分市場由國際寡頭壟斷,其中K&S球焊機全球市占率達64%,Disco劃片機和減薄機全球市占率達2/3以上,Besi、 ASM Pacific壟斷裝片機市場,Besi、日本Towa、ASM Pacific和日本Yamada是塑封系統主要品牌。[110]
國產方面,封測設備國產化率不足5%,低于制程設備整體10%~15%的國產化率[109]。其中,劃片機以中國電子科技集團公司第45研究所、武漢三工光電、江蘇京創、 沈陽和研和鄭州琦升為代表,固晶機以新益昌、艾科瑞思、大連佳峰為代表,塑封設備以文一三佳、安徽耐科裝備為代表。
半導體封裝設備主要企業及情況[111]
測試設備
測試設備穿插在封裝工藝的一前和一后,即晶圓檢測(CP,Circuit Probing,又稱中測)和成品測試(FT,Final Test,又稱終測)。
簡單來說,就是在一顆顆芯片從刻好電路的晶圓上切割下來前,測試一遍各種參數,通過測試后,再像裝香腸一樣,封裝成芯片,之后再測試一遍芯片的性能。
測試設備包括測試機(Tester)、探針臺(Prober)、分選機(Test Handler)三種,無論是晶圓檢測還是成品測試,測試芯片均需先將芯片引腳與測試機功能模塊相連(探針臺和分選機的作用),再通過測試機向芯片輸入信號,并檢測輸出信號。[112]
三種測試設備中,測試機市場更大,技術壁壘也更高,不止如此,客戶還對測試精度、響應速度、存儲能力、采集分析能力、應用程序定制化、平臺延展性等方面提出越來越高的要求。
半導體封測是我國最早轉型的制造環節,迄今為止,它已成為我國集成電路產業鏈中相對成熟的環節。早在2010年,我國就已在封裝測試環節實現632億元的銷售額,其產值一度占據我國集成電路產業總產值的70%以上[113]。而在2020年,我國半導體測試設備市場規模達到91.4億元,并且連續多年成為全球最大半導體銷售市場。
雖然看似一片繁榮,但實際核心的測試機國產市占率較低。通過查看2015年到現在國內封測廠商長電科技公開招標信息,測試機主要以海外頭部廠商為主。
2019年,美國泰瑞達(Teradyne)、日本愛德萬(Advantest)兩大龍頭全球合計市占率達到90%,占據國內測試設備市場將近91.2%的市場份額,此外,美國科休(Cohu)、美國安捷倫(Agilent)、美國科利登(Xcerra)等廠商也長期盤踞位居前幾。反觀國內本土市場,華峰測控占比國內市場份額僅6.1%,長川科技為2.4%。[114]
相比來說,愛德萬、泰瑞達早在20世紀60~70年代進入半導體測試領域,我國則起步較晚,所以產品線單一,側重于模擬/混合測試機,海外廠商則在SoC測試機、存儲測試機、模擬/混合測試機三大種類均有涉獵。
探針臺方面,Tokyo Electron和Accretech占據全球73%份額,惠特科技(Fittech)、旺矽科技(MPI)兩家中國臺灣企業占據剩余市場份額大部分空間。[114]
國內外設備廠商ATE測試機對比[114]
封裝材料
封裝材料按類型可分為陶瓷、金屬和聚合物三大類。其中,90%以上使用的是塑料,陶瓷和金屬合計占比約為10%。[115]
封裝材料分類及特點,制表丨果殼硬科技
參考資料丨《中國膠粘劑》[116]
按用途,封裝材料分為封裝基板、引線框架、鍵合絲、塑封料四大主材,全球市場占比分別為32.46%、16.75%、16.23%和6.81%。
封裝基板:日韓企業市占率將近達到90%,國內主流基板廠包括深南電路、珠海越亞、興森科技、丹邦科技;
引線框架:三井高科、新光電器、SDI、ASM、長華科技、HDS、寧波康強幾家企業市占率分別為12%、10%、9%、9%、8%、7%、4%,國內企業主要包括寧波康強、寧波華龍、廈門永紅、廣州豐江微電子、深圳富美達、無錫華晶利達、濟南晶恒山田、泰州市永志、寧波埃斯科光電、四川金灣電子、天水華洋、天水華天、泰州東田、銅陵豐山三佳等,雖然企業較多,但依然沒有形成產業集群,且技術落后;
鍵合絲:日本田中貴金屬、新日鐵、德國賀利氏、韓國MKE、Heesung等國際廠商占據主要市場,國內鍵合絲生產企業共有二十幾家,代表企業為賀利氏、田中等,但缺乏對于新技術的掌控力;
塑封材料:95%以上集成電路都使用塑料封裝,而其中又有97%以上都是環氧樹脂,塑封材料日本住友電木、日立化成、京瓷化學、信越化學、松下電工、韓國三星Cheil占據主要市場,國內擁有二十幾家塑封料生產商,但缺乏高端產品。[13]
SEMI數據顯示,2022年~2027年,全球半導體封裝材料市場規模將從261億美元增長至298億美元,年復合增長率達2.7%。[117]
寫在最后
不可否認的是,雖然國內陸續涌現一批有實力的制造企業,但相比國際仍存在5年以上的技術落差,缺乏高端技術和產品。芯片制造從晶圓制造、前期芯片加工到封裝測試層層相扣,但凡其中一個環節存在落后,都會成為***制造的痛點。[118]
業界也普遍認為,國產半導體設備自給率低主因在于系統、終端、制造和封測廠商習慣性采購國外大廠產品,造成本土設備難以自證自身實際生產制造能力。[119]
除此之外,造芯片,晶圓廠是關鍵,這其中也蘊含許多門道。
首先,半導體晶圓制造屬規模經濟行業,必須在不同地區建立多座制造工廠以充分利用閑置資源,如臺積電在中國、美國、新加坡等地均設有晶圓廠。
其次,晶圓廠一經建立,必須保持全年每日24小時不停歇運轉,長時間持續生產難免產生性能下降、故障率增加等問題,預防性維護是做晶圓廠的必修課。
另外,晶圓廠對加工工廠的空氣潔凈度、濕度、溫度等指標都有嚴格的要求,要知道芯片制造的無塵室內潔凈度能夠達到醫院手術室的100000倍。
最后,制造業屬高耗能產業,生產過程產生大量污染環境的有害氣體和液體,廢水廢氣處理和低碳都是關鍵。[120]
可以說,芯片制造是***的最為重要的推動力,只有當我們全面擁抱制造,才能真正支撐起來芯片設計和應用等環節,而這將會是不斷的投入和企業不斷的整合并購。
References:
[1] 王彪,彭琳,昌道勵. 粵芯半導體副總裁李海明:補齊芯片制造短板 跨領域創業機遇多[N]. 南方日報,2021-07-16(A08).
[2] 張振哲.現代芯片制造技術的發展趨勢展望[J].集成電路應用,2020,37(06):22-23.
[3] 余澤健.現代芯片制造技術的展望[J].集成電路應用,2021,38(01)10.19339/j.issn.1674-2583.2021.01.002.
[4] 珠海杰理科技股份有限公司:首次公開發行股票并在科創板上市招股說明書.2021.9.13.http://file.finance.sina.com.cn/211.154.219.97:9494/MRGG/CNSESZ_STOCK/2021/2021-9/2021-09-13/7540702.PDF
[5] 國信證券:半導體系列報告之四:半導體硅片摩爾定律演進,半導體硅材料歷久彌新.2022.3.8.https://pdf.dfcfw.com/pdf/H3_AP202203091551497056_1.pdf?1646819782000.pdf
[6] 上海硅產業集團股份有限公司:首次公開發行股票并在科創板上市招股說明書.2020.4.15.http://static.cninfo.com.cn/finalpage/2020-04-15/1207500150.PDF
[7] HongXiao,楊銀堂,段寶興譯.半導體技術導論[M].電子工業出版社.2013.1.P435~437
[8] 中科院半導體所:晶圓?為什么沒有“晶方”呢?.2019.4.16.https://mp.weixin.qq.com/s/rQbmKe-czb9zAKjMLHvaFg
[9] 有研半導體硅材料股份公司:首次公開發行股票并在科創板上市招股說明書.2022.11.7.http://static.sse.com.cn/disclosure/listedinfo/announcement/c/new/2022-11-07/688432_20221107_39HW.pdf
[10] 廣州市半導體協會:會員新聞 | 持續專注模擬芯片制造,粵芯半導體完成B輪戰略融資.2022.12.2.https://mp.weixin.qq.com/s/4Ebu5vFzJdJvTEtecQuT3A
[11] 廣州市半導體協會:投資70億元,增芯月產2萬片12英寸晶圓制造量產線正式開工.2022.12.15.https://mp.weixin.qq.com/s/-rCYQRQboNoRBT1x7_Nkxw
[12] 中商情報網:2022年中國半導體硅片行業市場前景及投資研究報告(簡版).2022.9.30.https://www.askci.com/news/chanye/20220930/1608081990895_3.shtml
[13] SIMIT戰略研究室:我國集成電路材料專題報告(全).2019.7.22.https://mp.weixin.qq.com/s/xNmREBgDj6HLFANxQW8zBA
[14] SEMI:https://yourewelcome.org/zh-hans/how-theyre-made/
[15] 國泰君安證券:半導體公司全面布局,攻堅國產替代.2023.3.13
[16] IRDS:Devices will continue to aggressively scale in the next 5 years.https://irds.ieee.org/images/files/pdf/2021/2021IRDS_ES.pdf
[17] 中金點睛:中金看海外 | LAM:領先的刻蝕設備供應商,聚焦刻蝕、薄膜、清洗三大領域.2023.1.30.https://mp.weixin.qq.com/s/HuF0JnleJe4SYDc8Q4q3-g
[18] 國海證券:盛美上海(688082)深度報告:半導體清洗設備龍頭,平臺化戰略開啟新征程.2022.4.27.https://pdf.dfcfw.com/pdf/H3_AP202204281562130616_1.pdf?1651143487000.pdf
[19] JacksonK A.半導體工藝[M].屠海令,萬群,譯. 北京:科學出版社,1999.
[20] 張海藩,呂云翔.軟件工程[M].4版.北京:人民郵電出版社,2013.
[21] 任澤生.勻膠顯影設備工藝原理、結構及常見故障分析[J].電子技術與軟件工程,2022(15):128-131.
[22] 張霞, 劉宏波, 顧文, 等. 全球***發展概況以及***裝備國產化[J]. 無線互聯科技, 2018, 15(19): 110-111.https://bdtgy.xaut.edu.cn/__local/1/3B/22/0F9DDFBC7626300CB493E851A52_5F2C0669_18A415.pdf
[23] 袁瓊雁, 王向朝, 施偉杰, 等. 浸沒式光刻技術的研究進展[J]. 激光與光電子學進展, 2006, 43(008): 13-20.https://www.researching.cn/ArticlePdf/m00002/2006/43/8/2.pdf
[24] 林楠, 楊文河, 陳韞懿, 等. 極紫外光刻光源的研究進展及發展趨勢[J]. Laser & Optoelectronics Progress, 2022, 59(9): 0922002.https://www.opticsjournal.net/Articles/OJe83bd70142deb50a/Abstract
[25] 駱志軍, 劉紫玉, 王舒虹, 等. 下一代***技術的探索: 第六代雙光束超分辨***概念, 技術和未來 Invited[J]. 激光與光電子學進展, 2022, 59(9): 0922028.
[26] 陳寶欽. 光刻技術六十年[J]. 激光與光電子學進展, 2022, 59(9): 0922031.https://www.opticsjournal.net/Articles/OJab9feb818f67ca72/FullText
[27] ASML:https://www.asml.com/en/news/stories/2021/semiconductor-manufacturing-process-steps
[28] 段晨, 宗明成, 范偉, 等. 浸沒式***對焦控制技術研究[J]. 光學學報, 2018, 38(9): 0912002.https://www.researching.cn/ArticlePdf/m00006/2018/38/9/0912002.pdf
[29] Wei Y Y. Advanced lithography theory and application of VLSI[M]. Beijing : Science Press,2016: 6-10.
[30] 李艷麗, 劉顯和, 伍強. 先進光刻技術的發展歷程與最新進展[J]. 激光與光電子學進展, 2022, 59(9): 0922006.https://www.opticsjournal.net/Articles/OJ6ef620a86d7e19e/Abstract
[31] 中泰證券:***行業報告:從0到1,星辰大海.2023.4.3.https://pdf.dfcfw.com/pdf/H3_AP202304061585172426_1.pdf?1680777189000.pdf
[32] 芯思想:2022年度全球***市場.2023.2.21.https://mp.weixin.qq.com/s/Ldt3H8L0U1SmL16YJ5Y4mQ
[33] VanZP.芯片制造:半導體工藝制程實用教程[M]. 趙樹武,朱踐知,于世恩,等,譯.北京:電子工業出 版社,2004.
[34] 祁峰.半導體涂膠顯影機產能分析[J].中國新技術新產品,2018(05):49-50.
[35] 東方證券:引領涂膠顯影設備國產替代,積極開拓清洗設備市場.2022.3.15.https://pdf.dfcfw.com/pdf/H3_AP202203151552770527_1.pdf?1647360393000.pdf
[36] 李靖恒.芯源微向前一步[N].經濟觀察報,2022-03-21(019)
[37] 財通證券:精雕細刻筑產業基石,國產刻蝕機未來可期.2022.11.9.https://pdf.dfcfw.com/pdf/H3_AP202211101580127804_1.pdf?1668070522000.pdf
[38] Transparency Market Research:https://www.transparencymarketresearch.com/semiconductor-etching-equipment-market.html
[39] 軒中.中國芯片產業上的亮點——芯片刻蝕機生產企業調研[J].互聯網周刊,2018(11):28-29.
[40] SK海力士:薄膜技術部門:在晶圓上鋪設均勻的“繪圖紙”.2021.12.13.https://news.skhynix.com.cn/people-who-put-a-uniform-drawing-paper-on-a-wafer-thinfilm-technology/
[41] 天風證券:半導體設備專題報告(一):前道設備——扼喉之手,亟待突破!.2023.5.16.https://pdf.dfcfw.com/pdf/H3_AP202305231587092369_1.pdf?1684862495000.pdf
[42] 申港證券:薄膜沉積設備競爭格局討論.2020.1.7.http://pdf.dfcfw.com/pdf/H3_AP202001101373856635_1.pdf
[43] 郭運德.半導體材料與器件快速熱處理[J].河南冶金,1999(02):7-9.
[44] 泛林集團:泛林小課堂 | 揭秘半導體制造全流程(上篇).2021.7.15.https://mp.weixin.qq.com/s/WhXjXMwX8x2PdASIsB6hqg
[45] 三星:半導體工藝(二)保護晶圓表面的氧化工藝.https://semiconductor.samsung.com/cn/support/tools-resources/fabrication-process/eight-essential-semiconductor-fabrication-processes-part-2-oxidation-to-protect-the-wafer/
[46] 高鵠資本:挑戰與機遇并存,系統性拆解半導體設備國產化機會 | 高鵠觀點.2023.2.28.https://mp.weixin.qq.com/s/uRI8zk0mlcd5rCzD_TzZDg
[47] 廣發證券:氧化/擴散/退火設備:熱工藝設備受益國產替代,持續關注國內領先廠商.2020.4.21.http://pdf.dfcfw.com/pdf/H3_AP202004231378449923_1.pdf
[48] 華經情報網:離子注入設備行業發展現狀分析,IC離子注入機呈現寡頭壟斷的局面「圖」.2021.8.29.https://www.huaon.com/channel/trend/743650.html
[49] 頭豹:2021年中國半導體系列報告:離子注入設備行業概覽.2021.9.https://pdf.dfcfw.com/pdf/H3_AP202110011519666501_1.pdf?1633599914000.pdf
[50] 中銀證券:半導體設備國產化專題十一:離子注入機.2021.8.19.https://pdf.dfcfw.com/pdf/H3_AP202108191511036825_1.pdf?1630178364000.pdf
[51] 李丹.化學機械拋光(CMP)技術、設備及投資概況[J].電子產品世界,2019,26(06):31-34.
[52] 安集微電子科技(上海)股份有限公司:2022年年度報告.2023.4.12.http://static.cninfo.com.cn/finalpage/2023-04-12/1216380750.PDF
[53] 德邦證券:華海清科(688120.SH):CMP 設備國產龍頭,拓展減薄設備與晶圓再生.2022.8.4.https://pdf.dfcfw.com/pdf/H3_AP202208041576908608_1.pdf?1659648688000.pdf
[54] 張汝京.納米級稱電路制造工藝(第2版)[M].清華大學出版社.2017.1.P1611~1612
[55] 華海清科股份有限公司:首次公開發行股票并在科創板上市招股說明書(上會稿).2021.6.9http://static.sse.com.cn/stock/information/c/202106/ff7916f508bf4614bb1c04a6eeebe6ff.pdf
[56] 國金證券:CMP設備稀缺龍頭,在手訂單快速增長.2022.6.6.https://pdf.dfcfw.com/pdf/H3_AP202206071570638055_1.pdf?1654678480000.pdf
[57] 平安證券:半導體清洗設備:筑芯片良率保障墻,看國產品牌角逐差異化.2020.8.31.https://pdf.dfcfw.com/pdf/H3_AP202008311404507199_1.pdf?1598990956000.pdf
[58] SEMI產業投資平臺:從盛美的崛起,看新時代中國半導體清洗設備市場的發展機遇!.2021.11.4.https://mp.weixin.qq.com/s/hmXkdYaMTeJCY2EpzBIPsw
[59] 安信證券:芯片良率的重要保障,半導體清洗設備國產替代正當時.2021.11.17.https://pdf.dfcfw.com/pdf/H3_AP202111181529678979_1.pdf?1637229715000.pdf
[60] SIA:STRENGTHENING THE GLOBAL SEMICONDUCTOR SUPPLY CHAIN IN AN UNCERTAIN ERA.2021.4.https://www.semiconductors.org/wp-content/uploads/2021/05/BCG-x-SIA-Strengthening-the-Global-Semiconductor-Value-Chain-April-2021_1.pdf
[61] 陳炳欣.全球將新建多座晶圓廠 半導體測試市場迎來黃金時代[N].中國電子報,2022-02-15(008)
[62] 深圳中科飛測科技股份有限公司:首次公開發行股票并在科創板上市招股意向書.2023.4.27.http://static.sse.com.cn/disclosure/listedinfo/announcement/c/new/2023-04-27/688361_20230427_YWP4.pdf
[63] Allied Market Research:https://www.alliedmarketresearch.com/semiconductor-metrology-and-inspection-market-A31718
[64] 平安證券:半導體系統報告(二)半導體設備篇.2021.5.28.https://pdf.dfcfw.com/pdf/H3_AP202105281494477002_1.pdf?1622217308000.pdf
[65] 東吳證券:半導體量/檢測設備專題報告:前道設備彈性最大環節之一,迎國產替代最佳機遇.2022.12.6.https://pdf.dfcfw.com/pdf/H3_AP202212081580922462_1.pdf?1670505704000.pdf
[66] 中國科學院:材料:科技發展的動力和瓶頸.2002.2.6.https://www.cas.cn/xw/zjsd/200906/t20090608_640063.shtml
[67] 重慶大學:院士講堂|潘復生院士為重慶大學本科生講述“材料的重要性、神奇性和鎂基能源材料的發展機遇”.2023.5.12.http://www.cmse.cqu.edu.cn/info/1571/8263.htm
[68] SEMI:GLOBAL SEMICONDUCTOR MATERIALS MARKET REVENUE REACHES RECORD $73 BILLION IN 2022, SEMI REPORTS.2023.6.13.https://www.semi.org/en/news-media-press-releases/semi-press-releases/global-semiconductor-materials-market-revenue-reaches-record-%2473-billion-in-2022-semi-reports
[69] 新微超凡:行業觀察 | 光掩膜:通往”芯時代“的底片.2023.4.12.https://mp.weixin.qq.com/s/jA5B2mW8Nar10q2oHcX4wA
[70] 安信證券:滲透率提升+***崛起雙重驅動,掩模版行業進入高速增長通道.2022.8.26.https://pdf.dfcfw.com/pdf/H3_AP202208261577714579_1.pdf?1661679485000.pdf
[71] 信達證券:光刻膠,核心半導體材料,步入國產替代機遇期. 2021.09.03
[72] 國盛證券:科華杜邦戰略合作,加速光刻膠國產替代. 2021.11.08
[73] 天風證券:半導體材料皇冠上的明珠,迎來國產化機遇. 2021.05.31
[74] 前瞻產業研究所:2021年全球半導體光刻膠行業市場規模與競爭格局分析 市場穩步增長、產能集中度較高.2021.09.18.https://www.qianzhan.com/analyst/detail/220/210918-8dcf7cfc.html
[75] 天風證券:半導體材料皇冠上的明珠,迎來國產化機遇. 2021.05.31
[76] 東北證券:三種增量、兩種替代,電子成長長青 . 2021.12.09
[77] 景瑞電子材料股份有限公司:創業板向不特定對象發行可轉換公司債券募集說明書. 2021.08.12 http://www.cninfo.com.cn/new/disclosure/detail?orgId=9900031917&announcementId=1210726586&announcementTime=2021-08-12
[78] 浙商證券股份有限公司:半導體材料·電子氣體投資寶典──電子氣體深度報告.2020.3.24.http://pdf.dfcfw.com/pdf/H3_AP202003241376865500_1.pdf
[79] 國家統計局:《戰略性新興產業分類(2018)》(國家統計局令第23號).2018.11.26.http://www.stats.gov.cn/tjgz/tzgb/201811/t20181126_1635848.html
[80] 蘇州金宏氣體股份有限公司:首次公開發行股票并在科創板上市招股說明書.2019.12.6.http://static.sse.com.cn/stock/information/c/201912/034df3b90f2c46abb9d42662ca9ce885.pdf
[81] 集微網:華特氣體:全球電子半導體氣體市場規模約300億元,公司占比不足2%.2021.9.7.https://www.laoyaoba.com/n/791954
[82] 李靖恒.電子特種氣體國產替代進行中[N].經濟觀察報,2022-06-20(021)
[83] 何暉,包漢波.國內外特種氣體的發展概況[J].深冷技術,2017(03):55-59.
[84] 張望.電子特氣進入景氣賽道毛利率高達84.67%[N].21世紀經濟報道,2022-09-07(009)
[85] 柏元灝.電子特氣布局長遠[J].產城,2022,(05):58-59.
[86] 新華財經:凱美特氣:打破芯片制造用氣“卡脖子”現狀 多種電子特氣已實現量產.2021.6.2.https://www.cnfin.com/stock-xh08/a/20210602/1988890.shtml
[87] 中國工業新聞網:半導體制造“供血不足” 電子特氣國產化亟待提速.2022.7.2.http://www.cinn.cn/gongjing/202207/t20220702_258553.shtml
[88] 王雅雯. 電子氣體產業發展亟待提質升級[N]. 中國質量報,2022-10-13(002)
[89] 張凱,張念椿,魏永明,馬曉華,楊虎,莊黎偉,湯初陽,李金榮,鄭鶴立,許振良.電子化學品分離純化材料制備及其應用技術進展[J].山東化工,2022,51(22):73-77+80.
[90] 付雪濤. 集成電路工藝化學品標準體系探討[J]. 信息技術與標準化, 2013 (1): 29-32.
[91] 安集微電子科技(上海)股份有限公司:2022年年度報告.2023.4.12.http://static.cninfo.com.cn/finalpage/2023-04-12/1216380750.PDF
[92] 杭州格林達電子材料股份有限公司:首次公開發行股票招股說明書.2020.8.6.http://static.cninfo.com.cn/finalpage/2020-08-06/1208131136.PDF
[93] 江陰江化微電子材料股份有限公司:首次公開發行股票招股說明書.2017.3.23.http://static.cninfo.com.cn/finalpage/2017-03-23/1203188461.PDF
[94] 華安證券:國內濕電子化學品領軍企業,新產能釋放未來可期.2022.2.17.https://pdf.dfcfw.com/pdf/H3_AP202202181547737897_1.pdf?1645194731000.pdf
[95] 寧波江豐電子材料股份有限公司:首次公開發行股票并在創業板上市招股說明書.2017.6.2.http://static.cninfo.com.cn/finalpage/2017-06-02/1203579943.PDF
[96] 寧波江豐電子材料股份有限公司:2022年年度報告.2023.4.7.http://static.cninfo.com.cn/finalpage/2023-04-07/1216346771.PDF
[97] 首創證券:國內靶材龍頭,半導體零部件再助增長.2022.3.21.https://pdf.dfcfw.com/pdf/H3_AP202203211554036010_1.pdf?1647884314000.pdf
[98] 國海證券:國內CMP拋光液龍頭,深度受益國產替代——安集科技(688019)深度報告.2021.1.4
[99] 姚麗麗, 史海波, 劉昶. 半導體封裝測試生產線排產研究[J]. 自動化學報, 2014, 40(5): 892-900.
[100] 周曉陽.先進封裝技術綜述[J].集成電路應用,2018,35(06):1-7.
[101] 江蘇華海誠科新材料股份有限公司:首次公開發行股票并在科創板上市招股說明書.2023.3.30.http://static.cninfo.com.cn/finalpage/2023-03-30/1216260520.PDF
[102] 陳芳,董瑞豐.“芯”想事成:中國芯片產業的博弈與突圍[M]. 人民郵電出版社.2018.P377-378
[103] 孫國輝.集成電路封裝領域知識產權情況[J].中國集成電路,2022,31(11):12-16+91.
[104] 馬力,項敏,石磊,鄭子企.高端性能封裝技術的某些特點與挑戰[J].電子與封裝,2023,23(03):94-102.
[105] 曹立強,侯峰澤,王啟東等.先進封裝技術的發展與機遇[J].前瞻科技,2022,1(03):101-114.
[106] 江蘇長電科技股份有限公司:2022年年度報告.2023.3.31.http://static.cninfo.com.cn/finalpage/2023-03-31/1216269388.PDF
[107] 芯思想:2022年全球委外封測增長10%,通富微電躍居全球第四.2022.2.1.https://mp.weixin.qq.com/s/FYVSlpdPMN37P_lgtqPpVg
[108] 中銀證券:先進封裝推動設備需求高增,國產設備迎發展良機-先進封裝設備行業深度.2023.5.15.https://pdf.dfcfw.com/pdf/H3_AP202305161586684818_1.pdf?1684259534000.pdf
[109] SEMI:業界對國產半導體封裝設備寄于厚望.2021.8.16.https://mp.weixin.qq.com/s/Pyv8aSSSbkaAJBpNQBdx7A
[110] 國海證券:半導體劃片機國產替代領頭羊.2022.2.16.https://pdf.dfcfw.com/pdf/H3_AP202202171547513865_1.pdf?1645089604000.pdf
[111] 中銀證券:先進封裝推動設備需求高增,國產設備迎發展良機-先進封裝設備行業深度.2023.5.15.https://pdf.dfcfw.com/pdf/H3_AP202305161586684818_1.pdf?1684259534000.pdf
[112] 周萬成.半導體測試設備選型研究[D].蘇州大學,2012.
[113] 中商情報局:2022年中國半導體測試設備產品結構及發展趨勢預測分析(圖).2022.11.10.https://www.askci.com/news/chanye/20221110/1144512017286.shtml
[114] 彭榮超.晶圓檢測設備產業的現狀、挑戰與發展趨勢研究[J].中國設備工程,2023,(07):174-176.
[115] 前瞻經濟學人:2020年半導體封裝材料行業市場發展現狀分析 環氧樹脂需求量崛起.2020.8.
-
芯片
+關注
關注
456文章
50967瀏覽量
424934 -
半導體
+關注
關注
334文章
27527瀏覽量
219991 -
晶圓
+關注
關注
52文章
4936瀏覽量
128119
發布評論請先 登錄
相關推薦
評論