在线观看www成人影院-在线观看www日本免费网站-在线观看www视频-在线观看操-欧美18在线-欧美1级

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

RQS設計收斂建議ID RQS_CLOCK-12

jf_pJlTbmA9 ? 來源:jf_pJlTbmA9 ? 作者:jf_pJlTbmA9 ? 2023-07-12 15:44 ? 次閱讀

在先前博文在 Vivado 中利用 report_qor_suggestions 提升 QoR和利用 RQA 和 RQS 實現設計收斂中,我們了解了“Report QOR Suggestions (RQS)”(QoR 建議報告)如何借助時鐘設置、使用率、擁塞和時序建議來幫助達成設計收斂。

在本篇博文中,我們來聊聊“RQS_CLOCK-12”時鐘設置建議以及它如何幫助達成時序收斂。

要求:

掌握如何生成和應用report_qor_suggestions

基本掌握 CLOCK_LOW_FANOUT 約束。

RQS_CLOCK-12:

RQS_CLOCK-12 建議屬于專為 UltraScale 和 UltraScale+ 器件生成的自動增量式友好建議。

它使用“CLOCK_LOW_FANOUT”屬性,并將該屬性分配給時鐘信號線或者一組寄存器,由全局時鐘緩沖器根據其負載數目來驅動。

1. 將該屬性應用于時鐘信號線時,全局時鐘緩沖器的負載將被約束并放置到單個時鐘區域內。

2. 將該屬性應用于一組寄存器時,在 opt_design 期間創建的現有全局時鐘緩沖器的基礎上,還會并行復制一個全新的全局時鐘緩沖器。新全局時鐘緩沖器的負載僅適用于該屬性應用到的各組寄存器,并約束到單個時鐘區域。

現在我們來看看 RQS_CLOCK-12 建議如何應用 CLOCK_LOW_FANOUT 來降低時鐘偏差,進而幫助設計達成時序收斂。

假設已布線的設計中存在如下兩個場景,其中存在錯誤的時鐘偏差,導致從寄存器到全局緩沖器的控制管腳 (CE/CLR) 的路徑上存在時序違例。

場景 1:

100571790-306373-shixushoulian1.jpg

在這條時序收斂失敗的路徑中,時鐘緩沖器 BUFGCE1 (clockout3_buf)、寄存器及其驅動程序 BUFGCE2 (bufce_i) 全都布局在同一個時鐘區域內。驅動寄存器的 BUFGCE1 存在高扇出 (6419),且負載導致其時鐘信號線遍布整個器件,如圖高亮所示。

該工具所選的 CLOCK_ROOT 位置遠離驅動它的全局時鐘緩沖器,導致時鐘信號線延遲過高且時鐘偏差過高。

場景 1 的解決辦法:

對寄存器應用 CLOCK_LOW_FANOUT,這樣即可復制 opt_design 期間創建的原始 BUFGCE1 以創建新的 BUFGCE (clkout3_buf_replica),且僅將其用于驅動此關鍵寄存器。這樣將把信號線約束到單個時鐘區域內,從而減少時鐘信號線延遲。

并且,由于時鐘源和負載都位于相同時鐘區域內,CLOCK_LOW_FANOUT 會強制將 clock root(時鐘根)包含在相同時鐘區域內,從而幫助降低時鐘偏差。

對關鍵寄存器應用 CLOCK_LOW_FANOUT 后的板級原理圖:

100571790-306374-shixushoulian2.jpg

在 opt_design 的 BUFG 最優化階段,在為 CLOCK_LOW_FANOUT 屬性創建的全局時鐘緩沖器上應該會顯示一條消息。

例如:
INFO: [Opt 31-1077] Phase BUFG optimization inserted 1 global clock buffer(s) for CLOCK_LOW_FANOUT.
語法:
set_property CLOCK_LOW_FANOUT TRUE [get_cells ]

場景 2:

100571790-306375-shixushoulian3.jpg

在這條時序收斂失敗的路徑中,時鐘緩沖器 BUFGCE1 (clkout1_BUFG_inst)、寄存器及其驅動程序 BUFGCE2 同樣全都布局在同一個時鐘區域內。BUFGCE1 驅動寄存器的扇出較低 (16),但負載分布于多個時鐘區域(以紅色標記)。由此導致該工具所選的 CLOCK_ROOT 不同于驅動它的全局時鐘緩沖器,進而導致時鐘信號線延遲過高且時鐘偏差過高。

場景 2 的解決辦法:

當 BUFGCE1 扇出較低 (

現在,時鐘源和負載都位于相同時鐘區域內,因此 CLOCK_LOW_FANOUT 會強制將 clock root 包含在相同時鐘區域內,從而幫助降低時鐘偏差。

對時鐘信號線應用 CLOCK_LOW_FANOUT 后的板級原理圖:

100571790-306376-shixushoulian4.jpg

語法:
set_property CLOCK_LOW_FANOUT TRUE [get_nets ]

總結

在本篇博文中,我們學習了 2 個設計示例,其中演示了如何生成 RQS_CLOCK-12 建議以將 CLOCK_LOW_FANOUT 屬性應用于由全局時鐘緩沖器直接驅動的寄存器或時鐘信號線。

審核編輯:湯梓紅
聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 寄存器
    +關注

    關注

    31

    文章

    5355

    瀏覽量

    120531
  • 緩沖器
    +關注

    關注

    6

    文章

    1922

    瀏覽量

    45514
  • 時序
    +關注

    關注

    5

    文章

    389

    瀏覽量

    37349
收藏 人收藏

    評論

    相關推薦

    如何在實現流程中將RQA與RQS結合使用的設計示例

    通過之前的博文,我們已經學會了如何使用 Report QoR Assessment (RQA) 和 Report QoR Suggestions (RQS) 來改進總體設計分析以及設計的時序收斂
    的頭像 發表于 09-29 11:11 ?4027次閱讀
    如何在實現流程中將RQA與<b class='flag-5'>RQS</b>結合使用的設計示例

    UltraFast設計方法時序收斂快捷參考指南

    《UltraFast 設計方法時序收斂快捷參考指南》提供了以下分步驟流程, 用于根據《UltraFast設計方法指南》( UG949 )中的建議快速完成時序收斂: 1初始設計檢查:在實現設計前審核
    的頭像 發表于 11-05 15:10 ?5018次閱讀
    UltraFast設計方法時序<b class='flag-5'>收斂</b>快捷參考指南

    EM模型仿真中的收斂誤差

    收斂誤差文檔。我嘗試應用文檔中建議的技術,例如更改OSR,使用TAHB,自動(首選)收斂模式,高級(穩健)收斂模式,將迭代更改為強大和自定義1000,直接求解器,Krylov求解器,
    發表于 10-12 17:16

    單點無法收斂后繼續HB掃描

    是否有辦法強制諧波平衡模擬器在收斂失敗后進入下一個掃描點?在任何收斂錯誤之后,模擬當前停止。我正在使用帶參數掃描塊的TAHB。這應該導致每個掃掠點被單獨計算。當一點失敗時,我看不出為什么模擬器無法
    發表于 05-21 12:48

    如何進行時序收斂,即如何確保生成的內核工作在2.5GHz

    ser-des核心工作在2.5 GHz。我使用了一個簡單的包裝器,它有clock,reset,tx&amp; amp; rx串行信號用于環回,Tx并行數據輸入和Rx并行數據輸出。我該如何設置約束?請建議如何進行時序收斂,即如何確
    發表于 06-03 11:24

    美國banner邦納Q60VR3AF2000

    QS18VN6LAFQS18VP6LAFQ45UBB63DAQSM312CVBQDPQS18VP6LDQ8QS30EVDQ45BB6LPSM312WQDT30UINAQS18VN6AF100QS18VN6DQS18VP6DS18SN6LS18SP6DLQ60VR3AF2000Q45UBB63BCQ45ULIU64BCRQS186EQS18VP6RQS186
    發表于 05-24 15:54

    HarmonyOS實戰——Clock組件基本使用

    的ohos:time_zone="GMT"是默認值24小時的格式 12小時的格式,Clock 組件默認是24小時制的,所以得把默認24小時制的關掉,用下面的12小時展示a 表示
    發表于 09-06 15:52

    MCU ID的相關資料推薦

    文章目錄讀取 MCU ID,然后通過串口發送原理圖示例參考讀取 MCU ID,然后通過串口發送原理圖示例main.c 文件:#include #ifndef SYSTEM_CLOCK
    發表于 02-09 07:43

    Report QoR Suggestions助力解決Vivado設計問題

    Report QoR Suggestions (RQS) 可識別設計問題,并提供工具開關和可影響工具行為的設計單元屬性的解決方案,即便在無法自動執行解決方案的情況下也可提供文本修改建議
    的頭像 發表于 01-24 17:27 ?3015次閱讀
    Report QoR Suggestions助力解決Vivado設計問題

    AD9517-3: 12-Output Clock Generator with Integrated 2.0 GHz VCO Data Sheet

    AD9517-3: 12-Output Clock Generator with Integrated 2.0 GHz VCO Data Sheet
    發表于 01-28 09:28 ?0次下載
    AD9517-3: <b class='flag-5'>12</b>-Output <b class='flag-5'>Clock</b> Generator with Integrated 2.0 GHz VCO Data Sheet

    單片機 STC11L02E-35I - 讀取 MCU ID,然后通過串口發送

    ;#ifndef SYSTEM_CLOCK#define SYSTEM_CLOCK (1000000 * 12) // 12MHz#endiftypedef enum { FAL
    發表于 12-05 19:36 ?9次下載
    單片機 STC11L02E-35I - 讀取 MCU <b class='flag-5'>ID</b>,然后通過串口發送

    在Vivado中利用Report QoR Suggestions提升QoR

    Report QoR Suggestions (RQS) 可識別設計問題,并提供工具開關和可影響工具行為的設計單元屬性的解決方案,即便在無法自動執行解決方案的情況下也可提供文本修改建議
    的頭像 發表于 07-19 10:38 ?1322次閱讀
    在Vivado中利用Report QoR Suggestions提升QoR

    RQS_CLOCK-12時鐘設置建議

    在本篇博文中,我們來聊聊“RQS_CLOCK-12”時鐘設置建議以及它如何幫助達成時序收斂
    的頭像 發表于 07-26 09:53 ?792次閱讀
    <b class='flag-5'>RQS_CLOCK-12</b>時鐘設置<b class='flag-5'>建議</b>

    Linux clock子系統是什么

    clock子系統 Linux的時鐘子系統由CCF(common clock framework)框架管理, CCF向上給用戶提供了通用的時鐘接口,向下給驅動開發者提供硬件操作的接口 。各結構體關系
    的頭像 發表于 09-27 14:25 ?857次閱讀
    Linux <b class='flag-5'>clock</b>子系統是什么

    Realtek 有效利用 Cadence Tempus Timing Solution 成功完成 12 納米設計的硅片交付

    優勢 ? 與以前的方法相比,生產力提高了 2 倍之多 ? 設計收斂周轉時間縮短 50%,計算和內存總成本降低 50%? 中國上海,2023 年 11 月 6 日——楷登電子( 美國 Cadence
    的頭像 發表于 11-06 10:10 ?452次閱讀
    主站蜘蛛池模板: 一级黄色片欧美| 自拍偷拍福利| 黄色大片视频网站| 大片免费看| 午夜影视免费| 午夜快播| 免费观看一级特黄三大片视频| 天天艹天天射| 国产在线干| 欧美成人性高清观看| 亚洲综合激情另类专区| 很黄很暴力 很污秽的小说| 中文在线天堂网| 日本69式xxx视频| 奇米影视婷婷| 国产jlzzjlzz视频免费| 国产男靠女免费视频网站| 全是肉的高h短篇列车| 1515hh四虎免费观com| 日本aaaaa级片| 亚洲a级毛片| 亚洲 欧美 综合| 三级在线观看免播放网站| 婷婷5月天| 日本一区不卡在线观看| 中文字幕第15页| 色偷偷狠狠色综合网| 色婷婷综合久久久| 男人边吃奶边爱边做视频日韩| 加勒比色| 天天干小说| 91亚洲免费视频| 亚洲综合久久久| 欧美乱论视频| 91夜夜人人揉人人捏人人添| 久久久久久免费观看| 一区二区三区中文| 天天色图| 国产伦理一区二区三区| 欧美色图影院| 人人澡人人人人夜夜爽|