在线观看www成人影院-在线观看www日本免费网站-在线观看www视频-在线观看操-欧美18在线-欧美1级

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

Xilinx FPGA學習筆記

FPGA之家 ? 來源:FPGA之家 ? 2023-11-02 09:48 ? 次閱讀

一、時序設計

方法1.通過狀態機來實現,通過verilog控制FPGA,讓它該快的時候快,該慢的時候慢。

方法2.FPGA中運行CPU

把邏輯控制順序復雜的事情用C代碼來實現,而實時處理部分用verilog實現,并且verilog這部分可以被C代碼控制。Xilinx的FPGA目前支持的CPU有Microblaze,ARM9,POWERPC,其中Microblaze是軟核,其余的兩款是硬核。

(1)軟核就是用代碼實現的CPU核,配置靈活;

(2)硬核就是一塊電路,已經做好了,不能再發生變化;

軟核靈活性好,但是要占用FPGA的資源。硬核不占用FPGA的資源,速度和性能更好。比如Xilinx的DDR內存控制器,就是一種硬核,其運行速度很高。

二、基礎語法

1. always @(),括號里是*,表明是一直敏感的;

2. (1) <= ?非阻塞賦值,在一個always模塊中,所有語句一起更新

(2) = 阻塞賦值,或者給信號賦值,如果在always模塊中,這條語句被立刻執行。

非阻塞賦值

always @(posedge clk)begin
   a <= b;
? ? ? ?c <= a; ? ?
end

執行結果是a的值是b,c的結果依舊是a

阻塞賦值

always @(posedge clk)begin
     a = b;
      c = a;
end

執行結果a的值是b,c的結果也是b。

一般我們使用的都是非阻塞的賦值語句,這樣可以很好地控制同步性。

3、預處理命令
`include file1.v
`define X=1;
`define Y;
`ifdef Y
     Z = 1;
`else
      Z = 0;
`endid

有時候需要一些公共的宏參數,我們可以放在一個文件中,比如文件名XXX.v,。那么我們就可以 `include XXX.v ,就可以包含文件中定義的宏參數

三、小練習

1.加法器的設計

module adder(
input [3:0] a,
input [3:0] b,
input cin,
output [3:0] sum,
output cout
);

assign {cout,sum} = a + b + cin;
endmodule

RTL視圖

8f87eed6-7914-11ee-939d-92fbcf53809c.png

RTL 技術原理圖

8f99577a-7914-11ee-939d-92fbcf53809c.png

仿真代碼

`timescale 1ns / 1ps //1ns的仿真刻度,1ps的仿真精度
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 2017/10/22 1058
// Design Name:
// Module Name: simu
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////


module simu(

);

reg [3:0] a;
reg [3:0] b;
reg cin;

wire cout;
wire [3:0] sum;

reg [4:0] i,j; //中間變量

adder inst(
.a(a),
.b(b),
.cin(cin),
.cout(cout),
.sum(sum)

);

initial begin
a =0; b=0; cin=0;
for(i=1;i<16;i=i+1)
#10 a = i;
end

initial begin
for(j=1;j<16;j=j+1)
#10 b = j;
end

initial begin
$monitor($time,,,"%d + %d + %b = {%b,%d}",a,b,cin,cout,sum);
#160 $finish; //160ns 后仿真結束
end

endmodule

仿真波形

8fc7290c-7914-11ee-939d-92fbcf53809c.png

打印輸出結果

8fec7388-7914-11ee-939d-92fbcf53809c.png

審核編輯:湯梓紅
聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • FPGA
    +關注

    關注

    1629

    文章

    21736

    瀏覽量

    603431
  • Xilinx
    +關注

    關注

    71

    文章

    2167

    瀏覽量

    121430
  • 內存
    +關注

    關注

    8

    文章

    3025

    瀏覽量

    74056
  • Verilog
    +關注

    關注

    28

    文章

    1351

    瀏覽量

    110102
  • 狀態機
    +關注

    關注

    2

    文章

    492

    瀏覽量

    27541

原文標題:Xilinx FPGA 學習筆記

文章出處:【微信號:zhuyandz,微信公眾號:FPGA之家】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    PLD每周焦點聚焦(11.19-11.25)

    PLD每周焦點聚焦(11.19-11.25):賽靈思(Xilinx)將展示Zynq-7000 All Programmable SoC工業自動化解決方案;Altera Quartus II 12.1版借助高階設計流程 加速系統開發;Xilinx
    發表于 11-25 11:17 ?1627次閱讀

    Xilinx學習資料

    哪位大神比較熟悉XilinxFPGA,本人新手一枚,想學習FPGA,希望能告知一些XilinxFPG
    發表于 03-11 01:05

    FPGA學習筆記匯總(7.13更新)

    又有好資料跟大家分享了,再次感謝樓主@oldbeginner {:4_114:}FPGA 學習筆記01 (LCD 1602,verilog)FPGA
    發表于 06-20 10:42

    分享一本學習xilinx FPGA的好書

    分享一本學習xilinx FPGA的好書
    發表于 07-18 13:44

    入門 Z-turn Board 學習筆記(匯總ing)

    不好意思,5555......)。Xilin的 zynq7000平臺分為PS和PL兩個部分。PS就是ARM MPU,PL部分就是XilinxFPGA??梢钥醋鱌L部分是ARM的一個高性能的外設,比如當你系統需要10個串口
    發表于 07-06 11:54

    【Artix-7 50T FPGA申請】FPGA由Altera轉Xilinx系列筆記

    申請理由:項目描述:本人之前一直從事Altera FPGA學習套件的教程資料研發,如今轉向Xilinx,考慮Xilinx現在主推的工具是vivado,而S6系列芯片無法使用,為了使自
    發表于 10-11 18:15

    Xilinx FPGA學習筆記(1):1602液晶IP核設計與實現

    電子發燒友網核心提示 :簡單介紹設計的LCD液晶IP核的思路,首先生成100us的時鐘,然后根據初始化順序,編寫狀態機。最終設計效果是在1602液晶屏上可以靜態顯示16*2的任意字符串(可
    發表于 11-20 14:22 ?7083次閱讀

    Xilinx_FPGA系列入門教程(一)—如何搭建Xilinx

    Xilinx FPGA系列入門教程(一)——如何搭建Xilinx FPGA開發環境
    發表于 01-18 15:30 ?46次下載

    Xilinx-FPGA-引腳功能詳細介紹

    FPGA學習資料教程之Xilinx-FPGA-引腳功能詳細介紹
    發表于 09-01 15:27 ?0次下載

    Xilinx-FPGA高級開發工具

    FPGA學習資料教程之Xilinx-FPGA高級開發工具,感興趣的可以看看。
    發表于 09-01 15:27 ?0次下載

    Xilinx FPGA如何通過深度學習圖像分類加速機器學習

    了解Xilinx FPGA如何通過深度學習圖像分類示例來加速重要數據中心工作負載機器學習。該演示可通過Alexnet神經網絡模型加速圖像(從ImageNet獲得)分類。它可通過開源框架
    的頭像 發表于 11-28 06:54 ?3816次閱讀

    如何借助Xilinx FPGA和MATLAB技術加速機器學習應用

    本演講將結合FPGA在機器學習的發展趨勢、應用和需求,特別介紹在基于MATLAB?完成深度學習算法設計后,FPGA在機器學習方面的技術優勢和
    的頭像 發表于 12-25 07:08 ?2510次閱讀
    如何借助<b class='flag-5'>Xilinx</b> <b class='flag-5'>FPGA</b>和MATLAB技術加速機器<b class='flag-5'>學習</b>應用

    FPGA學習教程之硬件設計基本概念

    目前在做FPGA移植加速CNN卷積神經網絡Inference相關的學習,使用的是Xilinx公司的ZYNQ-7000系列的FPGA開發板,該博客為記錄相關
    發表于 12-25 17:34 ?22次下載
    <b class='flag-5'>FPGA</b><b class='flag-5'>學習</b>教程之硬件設計基本概念

    Altera FPGA CPLD學習筆記

    Altera FPGA CPLD學習筆記(肇慶理士電源技術有限)-Altera FPGA CPLD學習
    發表于 09-18 10:54 ?82次下載
    Altera <b class='flag-5'>FPGA</b> CPLD<b class='flag-5'>學習</b><b class='flag-5'>筆記</b>

    Xilinx FPGA學習筆記:原語BUFIO的理解

    我一直沒搞明白BUFIO是干嘛用的。
    的頭像 發表于 05-08 15:20 ?2966次閱讀
    <b class='flag-5'>Xilinx</b> <b class='flag-5'>FPGA</b><b class='flag-5'>學習</b><b class='flag-5'>筆記</b>:原語BUFIO的理解
    主站蜘蛛池模板: 亚洲综合色站| 色香天天| 欧美亚洲综合在线观看| 丁香婷婷在线观看| 护士巨好爽好大乳| 皇帝受h啪肉np文| 日本老师xxxxxxxxx79| 久久99热久久精品动漫| 怡红院黄色| 久久电影福利| 欧美日韩伦理| 嫩草网| 日本免费成人| 夜夜爱夜夜做| 一级美女片| 日韩福利一区| 手机在线你懂的| 禁漫画羞羞动漫入口| 久久久久久国产精品免费| 久久国产香蕉视频| 伊人久久大香线蕉电影院| 国产手机在线| 天天射夜夜骑| 日本高清不卡视频| 久久亚洲成人| 操爽视频| 老师我好爽再深一点好大| 六月丁香婷婷综合| 精品视频在线视频| 五月天丁香色| 午夜免费在线观看| 男男憋尿play按小腹| 久久国产色| www.在线视频| 色香淫欲| 欧美成人免费大片888| 亚洲h视频| 午夜高清在线| 亚洲香蕉影视在线播放| 777人体粉嫩u美图| 国产狂喷冒白浆免费视频|