在线观看www成人影院-在线观看www日本免费网站-在线观看www视频-在线观看操-欧美18在线-欧美1级

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

一文詳解Vivado調用ROM IP core設計DDS

Hx ? 作者:工程師陳翠 ? 2018-07-02 06:12 ? 次閱讀

DDS直接數字式頻率合成器(Direct Digital Synthesizer)

下面是使用MATLAB生成正弦波、三角波、方波的代碼,直接使用即可。

t=0:2*pi/2^12:2*pi

y=0.5*sin(t)+0.5;

r=ceil(y*(2^8-1)); %將小數轉換為整數,ceil是向上取整。

fid = fopen(‘sin.coe’,‘w’); %寫到sin.coe文件,用來初始化sin_rom

fprintf(fid,‘MEMORY_INITIALIZATION_RADIX=10;\n’);

fprintf(fid,‘MEMORY_INITIALIZATION_VECTOR=\n’);

for i = 1:1:2^12

fprintf(fid,‘%d’,r(i));

if i==2^12

fprintf(fid,‘;’);

else

fprintf(fid,‘,’);

end

if i%15==0

fprintf(fid,‘\n’);

end

end

fclose(fid);

t=1:1:2^12;

y=(t《=2047);

r=ceil(y*(2^8-1));

fid = fopen(‘square.coe’,‘w’); %寫到square.coe,用來初始化rom_square

fprintf(fid,‘MEMORY_INITIALIZATION_RADIX=10;\n’);

fprintf(fid,‘MEMORY_INITIALIZATION_VECTOR=\n’);

for i = 1:1:2^12

fprintf(fid,‘%d’,r(i));

if i==2^12

fprintf(fid,‘;’);

else

fprintf(fid,‘,’);

end

if i%15==0

fprintf(fid,‘\n’);

end

end

fclose(fid);

t=1:1:2^12;

y=[0.5:0.5/1024:1-0.5/1024, 1-0.5/1024:-0.5/1024:0, 0.5/1024:0.5/1024:0.5];

r=ceil(y*(2^8-1));

fid = fopen(‘triangular.coe’,‘w’); %寫到triangular.coe,初始化三角波rom

fprintf(fid,‘MEMORY_INITIALIZATION_RADIX=10;\n’);

fprintf(fid,‘MEMORY_INITIALIZATION_VECTOR=\n’);

for i = 1:1:2^12

fprintf(fid,‘%d’,r(i));

if i==2^12

fprintf(fid,‘;’);

else

fprintf(fid,‘,’);

end

if i%15==0

fprintf(fid,‘\n’);

end

end

fclose(fid);

設計DDS的核心就是調用IP ROM,vivado調用ROM的方法和ISE相類似,都是加載.coe文件,我這里特地做筆記,以防忘記。

一文詳解Vivado調用ROM IP core設計DDS

這是DDS的原理圖,DDS并沒有像它的名字一樣說的那么玄乎,它的核心便是控制頻率的fword字輸入,和相位字pword輸入,最后調用IP核查找表即可,代碼也十分簡單,下面給出DDS design代碼。

module DDS(

input mclk,

input rst_n,

input [31:0]fword,//frequency control

input [11:0]pword,//phase control

output [9:0]da_data

);

reg [31:0]r_fword;

reg [11:0]r_pword;

reg [31:0]fcnt;

wire [11:0]addr_rom;

//同步寄存器

always @(posedge mclk)

begin

r_fword 《= fword;

r_pword 《= pword;

end

always @(posedge mclk or negedge rst_n)

begin

if(!rst_n)

fcnt 《= 32‘d0;

else

fcnt 《= fcnt + r_fword;

end

assign addr_rom = fcnt[31:20] + r_pword;

//custom sin_rom

sin_rom sin_rom (

.clka(mclk), // input wire clka

.addra(addr_rom), // input wire [11 : 0] addra

.douta(da_data) // output wire [9 : 0] douta

);

endmodule

DDS_design

使用vivado調用IP核ROM教程如下

一文詳解Vivado調用ROM IP core設計DDS

點擊IP catalog

一文詳解Vivado調用ROM IP core設計DDS

選擇block memory,然后雙擊

一文詳解Vivado調用ROM IP core設計DDS

將show disabled ports 選項勾選掉

一文詳解Vivado調用ROM IP core設計DDS

輸入ROM名,我這里為了演示重新配置一個方波ROM,命名為square_rom

一文詳解Vivado調用ROM IP core設計DDS

這里選擇single ports ROM

一文詳解Vivado調用ROM IP core設計DDS

按如上圖所示勾選參數,port width是數據寬度,我們根據代碼要求設置為10位,port width是數據深度,即有多少個這樣的數據,我打開生成的square.coe文件可以清楚的看到一共有4096這樣的數據。always enable是ROM一直處于工作狀態,不需要使能信號

一文詳解Vivado調用ROM IP core設計DDS

這里是加載.coe文件,勾選load init file 然后點擊browse將剛才生成的square.coe文件加載到ROM中,最后點擊OK。

一文詳解Vivado調用ROM IP core設計DDS

選擇generate生成IP核

一文詳解Vivado調用ROM IP core設計DDS

打開如圖所示文件,

一文詳解Vivado調用ROM IP core設計DDS

一文詳解Vivado調用ROM IP core設計DDS

將生成的IP核實例化,即可

最后編寫測試文件進行測試

最后右鍵點擊da_data選擇wave style選擇analog,將會看到模擬波形,但是有時候還是需要設置一下模擬波形的顯示,同樣右鍵點擊da_data選擇wave style選擇analog setting,選擇如下圖所示參數。

一文詳解Vivado調用ROM IP core設計DDS

最后便大功告成,即可得打方波的波形圖

一文詳解Vivado調用ROM IP core設計DDS

大家還可以按照這種方法將其他兩種波形都做出來。

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • DDS
    DDS
    +關注

    關注

    21

    文章

    634

    瀏覽量

    152665
  • Vivado
    +關注

    關注

    19

    文章

    812

    瀏覽量

    66542
收藏 人收藏

    評論

    相關推薦

    IP core調用DDS

    ISE軟件,使用IP core調用DDS,產生正弦載波,使用調頻200M時鐘做為DDS輸入,功能仿真沒問題,但后仿真卻不顯示波形,只是
    發表于 03-20 20:37

    使用Vivado調用ROM IP

      本例程主要使用Vivado 調用ROM IP核,用含有正弦曲線的.coe文件初始化ROM,最終通過仿真實現波形的顯示  
    發表于 01-08 17:16

    vivadoIP core怎么用

    本實驗通過調用PLL IP core來學習PLL的使用、vivadoIP core使用方法。
    發表于 03-02 07:22

    vivado有哪幾種常用IP核?如何去調用它們

    vivado三種常用IP核的調用當前使用版本為vivado 2018.3vivadoIP核,
    發表于 07-29 06:07

    Xilinx Vivado的使用詳細介紹(3):使用IP

    IP核(IP CoreVivado中有很多IP核可以直接使用,例如數學運算(乘法器、除法器、浮點運算器等)、信號處理(FFT、DFT、
    發表于 02-08 13:08 ?2292次閱讀
    Xilinx <b class='flag-5'>Vivado</b>的使用詳細介紹(3):使用<b class='flag-5'>IP</b>核

    了解VivadoIP核的原理與應用

    IP核(IP CoreVivado中有很多IP核可以直接使用,例如數學運算(乘法器、除法器、浮點運算器等)、信號處理(FFT、DFT、
    發表于 11-15 11:19 ?9147次閱讀

    vivado調用IP核詳細介紹

    大家好,又到了每日學習的時間了,今天咱們來聊vivado 調用IP核。 首先咱們來了解vivad
    的頭像 發表于 05-28 11:42 ?3.7w次閱讀

    調用Vivado IP核的方法

    在開發PL時般都會用到分頻或倍頻,對晶振產生的時鐘進行分頻或倍頻處理,產生系統時鐘和復位信號,下面就介紹下在vivado2017.3中進行PL開發時調用
    發表于 12-22 14:26 ?4744次閱讀

    Vivado中PLL開發調用IP的方法

    在開發PL時般都會用到分頻或倍頻,對晶振產生的時鐘進行分頻或倍頻處理,產生系統時鐘和復位信號,這是同步時序電路的關鍵,這時就需要使用到時鐘向導IP,下面就介紹下在vivado中進行
    發表于 12-22 15:14 ?1w次閱讀

    Vivado 如何調用ROM IP

    .coe格式的數據文件簡介 在Vivado中,對rom進行初始化的文件是.coe文件.它的格式如下: memory_initialization_radix=10
    的頭像 發表于 11-20 15:01 ?6780次閱讀
    <b class='flag-5'>Vivado</b> 如何<b class='flag-5'>調用</b><b class='flag-5'>ROM</b> <b class='flag-5'>IP</b>核

    解析Vivado如何調用DDSIP進行仿真

    本次使用Vivado調用DDSIP進行仿真,并嘗試多種配置方式的區別,設計單通道信號發生器(固定頻率)、Verilog查表法實現DDS、A
    的頭像 發表于 04-27 16:33 ?6329次閱讀
    解析<b class='flag-5'>Vivado</b>如何<b class='flag-5'>調用</b><b class='flag-5'>DDS</b>的<b class='flag-5'>IP</b>進行仿真

    淺析VivadoIPDDS使用方式及注意事項

    vivado提供了DDS IP核可以輸出正余弦波形,配置方法如下
    的頭像 發表于 04-27 15:52 ?1w次閱讀
    淺析<b class='flag-5'>Vivado</b>的<b class='flag-5'>IP</b>核<b class='flag-5'>DDS</b>使用方式及注意事項

    關于Vivado三種常用IP核的調用詳細解析

    vivadoIP核,IP核(IP Core):Vivado中有很多
    的頭像 發表于 04-27 15:45 ?2.4w次閱讀
    關于<b class='flag-5'>Vivado</b>三種常用<b class='flag-5'>IP</b>核的<b class='flag-5'>調用</b>詳細解析

    FPGA應用之vivado三種常用IP核的調用

    今天介紹的是vivado的三種常用IP核:時鐘倍頻(Clocking Wizard),實時仿真(ILA),ROM調用(Block Memory)。
    發表于 02-02 10:14 ?3546次閱讀

    Xilinx Vivado DDS IP使用方法

    DDS(Direct Digital Frequency Synthesizer) 直接數字頻率合成器,本文主要介紹如何調用Xilinx的DDS IP核生成某
    的頭像 發表于 07-24 11:23 ?4994次閱讀
    Xilinx <b class='flag-5'>Vivado</b> <b class='flag-5'>DDS</b> <b class='flag-5'>IP</b>使用方法
    主站蜘蛛池模板: 91操视频| 一级毛片成人免费看a| bt天堂资源在线种子| 国内一级野外a一级毛片| 国产免费美女| 免费大片av手机看片| 美女扒开尿口让男人30视频| 日韩毛片大全免费高清| 1717国产精品久久| 男人日女人视频免费看| 婷婷五月小说| 美女网站色免费| 神马午夜在线观看| 美女视频黄a视频美女大全| 欧美精品videofree720p| 手机看片1024日韩| 午夜aa| 性欧美视频| 久久男人精品| 九九福利| 免费网站看黄| 激情春色网| vip影院在线观看| 色综合一区二区三区| 国内在线观看精品免费视频| 干干日日| 色激情网| 日日干夜夜欢| 永久黄网站色视频免费| 国产精品高清一区二区三区| 欧美乱乱| 亚洲综合激情六月婷婷在线观看| 亚洲欧美在线精品一区二区| 国产精品你懂得| 69日本xxⅹxxxxx19| 欧美高清视频一区| 国产精品久久久久影视不卡| 狠狠色 综合色区| 国产乱淫a∨片免费视频| 48pao强力打造免费基地| 青草91|