在线观看www成人影院-在线观看www日本免费网站-在线观看www视频-在线观看操-欧美18在线-欧美1级

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

深度解析ug1292:降低布線延遲

電子工程師 ? 來源:未知 ? 作者:李倩 ? 2018-10-30 10:47 ? 次閱讀

當設計出現布線擁塞時,通常會導致布線延遲增大,從而影響時序收斂。布線擁塞程度可通過如下兩種方式獲取:

-布線階段的log文件中會顯示擁塞程度

-對于place_design或route_design生成的dcp文件,可通過如下命令獲取

生成的擁塞報告如下圖所示。要格外關注擁塞程度(Congestion Level)大于4的區域。

對于擁塞程度大于4的情形,可采用如下流程加以改善并降低布線延遲。在如下的案例中,可以看到布線延遲占到了總延遲的94%,據此,可斷定布線延遲是導致時序違例的根本原因。從布線結果看,在擁塞區域出現了繞線。

圖片來源:page 6, ug1292

圖片來源:page 6, ug1292

降低擁塞程度可改善布線質量。Xilinx建議采用如下方法以改善布線擁塞。

(1)當整體資源利用率達到70%~80%時(對于多die芯片,這個數值是指每個SLR的資源利用率),需要砍掉一些模塊以降低資源利用率。尤其要避免LUT/BRAM/DSP/URAM利用率同時出現大于80%的情形。如果BRAM/DSP/URAM這些Block利用率無法降低,那么要確保LUT利用率低于60%。

(2)執行place_design時,嘗試將-directive設置為AltSpreadLogic*或SSI_Spread*或將Implementation的策略設置為Congestion_*,如下圖所示。

(3)通過如下命令分析設計復雜度,找到設計中出現擁塞的模塊(Rent值大于0.65或AverageFanout大于4)。之后,對這些模塊實施模塊化綜合,其中的綜合策略設置為ALTERNATE_ROUTABILITY。

生成設計復雜度報告:

對擁塞模塊采用模塊化綜合技術

(4)降低擁塞區域MUXF*和LUT-Combining的使用率,具體方法有時可通過report_qor_suggestions獲得。但采用模塊化綜合技術是一個值得一試的方法。

(5)在布線區域內非關鍵的高扇出網線上引入BUFG,可通過如下命令實現。

(6)從之前低擁塞的布線或布局結果中繼承DSP/BRAM/URAM的布局。這可通過如下腳本實現。

優化高扇出網線:

(1)在RTL層面,基于設計層次復制寄存器降低扇出,或者在opt_design階段通過-hier_fanout_limit選項降低扇出。

(2)在phys_opt_design(布局之后)階段通過-force_replication_on_nets對關鍵的高扇出網線通過復制寄存器降低扇出。

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 布線
    +關注

    關注

    9

    文章

    773

    瀏覽量

    84360
  • ug1292
    +關注

    關注

    0

    文章

    3

    瀏覽量

    2325

原文標題:深度解析ug1292(6)

文章出處:【微信號:Lauren_FPGA,微信公眾號:FPGA技術驛站】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    深度解析降低EMI的辦法

    案列為你深度解析降低EMI的辦法。EMI噪聲源和耦合路勁的基本概念圖1所示是離線反激變換器的傳導電磁干擾測量電路圖。測量中使用的是標準的傳導測量儀器LISN,由電感,電容以及兩個50ohm電阻組成
    發表于 06-11 09:36

    怎么降低延遲

    你好。我是PC游戲玩家,我想調整我的英特爾i219-v網卡驅動程序,因為我可以獲得更低的延遲..有一些設置我可以改變以降低延遲?以上來自于谷歌翻譯以下為原文Hi. I am a PC gamer
    發表于 10-29 14:21

    AUTOSAR架構深度解析 精選資料推薦

    AUTOSAR架構深度解析本文轉載于:AUTOSAR架構深度解析目錄AUTOSAR架構深度解析A
    發表于 07-28 07:40

    C語言深度解析

    C語言深度解析,本資料來源于網絡,對C語言的學習有很大的幫助,有著較為深刻的解析,可能會對讀者有一定的幫助。
    發表于 09-28 07:00

    LM1292 pdf,LM1292 datasheet

    LM1292Video PLL System for Continuous-Sync MonitorsGeneral DescriptionThe LM1292 is a very low
    發表于 09-17 00:36 ?25次下載

    綜合布線常用術語解析

    綜合布線常用術語解析  應用系統   應采用某種方式傳輸信息的系統,這個系統能在綜合布線上正常運
    發表于 04-14 11:49 ?756次閱讀

    ug1292時序收斂快速參考手冊

    這個手冊與ug949的理念是一致的即”盡可能地把所有問題放在設計初期解決“。寧可在設計初期花費更多的時間,也不要等到布局布線后才開始發現問題再解決問題。因為,在設計后期,往往會面臨牽一發而動全身的被動局面。即使一個小的改動都有可能花費很多的時間和精力甚至造成返工。
    的頭像 發表于 10-09 10:52 ?3395次閱讀
    <b class='flag-5'>ug1292</b>時序收斂快速參考手冊

    ug1292深度解析

    初始設計檢查流程如下圖所示。對象是綜合后或opt_design階段生成的dcp。會依次執行三個命令(圖中紅色標記),生成三個報告:FailFast報告、時序報告和UFDM(UltraFast Design Methodology)報告。
    的頭像 發表于 10-12 11:19 ?3678次閱讀

    深度解析ug1292建立時間違例分析流程

    上述四個數值,無論是在timing report(通過report_timing_summary生成)還是design analysis report(通過report_design_analysis生成)中都有所體現。以timingreport為例,如下圖所示,可清晰地顯示上述四個數值。
    的頭像 發表于 10-19 10:32 ?5559次閱讀

    淺談ug1292中的降低邏輯延遲的解決方案

    我們需要關注兩類路徑。一類路徑是由純粹的CLB中的資源(FF,LUT,Carry,MUXF)構成的路徑;另一類則是Block(DSP,BRAM,URAM,GT)之間的路徑。
    的頭像 發表于 10-27 08:43 ?7193次閱讀
    淺談<b class='flag-5'>ug1292</b>中的<b class='flag-5'>降低</b>邏輯<b class='flag-5'>延遲</b>的解決方案

    UG1292使用之初始設計檢查使用說明

    UG1292第一頁是針對綜合后或者opt_design階段的使用說明。vivado的基本流程有5步(其實不同策略可以更多,具體使用方法和好處以后講),分別是synth_design
    的頭像 發表于 10-30 10:25 ?3401次閱讀
    <b class='flag-5'>UG1292</b>使用之初始設計檢查使用說明

    DC1292A-設計文件

    DC1292A-設計文件
    發表于 04-12 10:10 ?1次下載
    DC<b class='flag-5'>1292</b>A-設計文件

    DC1292A DC1292A評估板

    電子發燒友網為你提供ADI(ti)DC1292A相關產品參數、數據手冊,更有DC1292A的引腳圖、接線圖、封裝手冊、中文資料、英文資料,DC1292A真值表,DC1292A管腳等資料
    發表于 09-03 19:00

    AD1292R芯片手冊

    ADS1291、ADS1292 和 ADS1292R 是多通道同步采樣 24 位 Δ-Σ 模數轉換器 (ADC),它們具有內置的可編程增益放大器 (PGA)、內部基準和板載振蕩器。ADS1291
    發表于 04-07 17:58 ?7次下載

    UltraFast設計方法時序收斂快捷參考指南(UG1292)

    電子發燒友網站提供《UltraFast設計方法時序收斂快捷參考指南(UG1292).pdf》資料免費下載
    發表于 09-15 10:38 ?0次下載
    UltraFast設計方法時序收斂快捷參考指南(<b class='flag-5'>UG1292</b>)
    主站蜘蛛池模板: 成年人三级视频| 在线中文字幕第一页| 奇米99| 色天天网| 日本成人免费网站| 美女扒开尿口让男人捅| 超级香蕉97视频在线观看一区| 国产小视频网站| 欧美成人免费草草影院| 理论片午夜| 手机看片1024精品日韩| 91成人在线播放| 天天爽夜夜爽免费看| 国产精品秒播无毒不卡| 啪啪午夜视频| 国产视频第一页| 色婷婷视频在线| 久久国产精品夜色| 一区二区不卡在线观看| 免费观看国产网址你懂的| 天天射视频| 狠狠艹视频| 久久精品隔壁老王影院| 手机看片三级| 亚洲mm8成为人影院| 琪琪see色原在线20| 婷婷99视频精品全部在线观看| 亚洲成a人片777777久久| 国产高清在线观看| 四虎最新永久在线精品免费| 亚洲成年人在线| 免费一级大片| 久久久久久久久综合影视网| 美女黄色在线| 激情婷婷网| 好男人社区www在线资源视频| 级毛片| 天天在线看片| 黄色在线| 午夜爱爱爱爱爽爽爽网站免费| 色婷婷基地|