在线观看www成人影院-在线观看www日本免费网站-在线观看www视频-在线观看操-欧美18在线-欧美1级

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

MAX.II的UFM模塊使用實例

工程師 ? 來源:網絡整理 ? 作者:h1654155205.5246 ? 2019-03-06 18:20 ? 次閱讀

查看MAX II器件的Chip Planner:

其左下角這塊黑色區域是用戶不可用資源區,而在這片不可用區域里有一塊綠色的方塊是可用的。這塊不可用的黑色區域叫做CFM block(配置Flash存儲區),而那個綠色方塊叫做UFM(用戶可用的Flash存儲區)。對于后者是我們今天討論的重點,先看以下官方對此存儲區作用的描述:

MAX II devices feature a single UFM block, which can be used like a serial EEPROM for storing non-volatile information up to 8,192 bits. The UFM block connects to the logic array through the MultiTrack interconnect,allowing any LE to interface to the UFM block. Figure 2–15 shows the UFM block and interface signals. The logic array is used to create customer interface or protocol logic to interface the UFM block data outside of the device. The UFM block offers the following features:

■ Non-volatile storage up to 16-bit wide and 8,192 total bits

■ Two sectors for partitioned sector erase

■ Built-in internal oscillator that optionally drives logic array

■ Program, erase, and busy signals

■ Auto-increment addressing

■ Serial interface to logic array with programmable interface

MAX.II的UFM模塊使用實例

也就是說,MAX II其實是內嵌了一塊8Kbit的Flash。這個Flash原則上是不占用MAX II的其它可用邏輯資源的,不過這有個大前提:用戶讀寫這塊存儲區使用altera本身的串行接口(遵循特定的通信協議)。但是這個協議也太繁瑣了(個人感覺),因此,對于這塊存儲區讀寫接口altera提供了三種通用的接口供用戶選擇。

I2C

■ SPI

■ Parallel

■ None (Altera Serial Interface)

最后一種就是不需要占用器件額外邏輯資源的接口,上面三種是需要消耗器件邏輯資源的接口。筆者添加了一個并行接口做測試,占用了EMP240內部86個LEs,對于資源比較緊張的應用還是很劃不來的。

更多詳細的關于UFM的信息請大家參考altera提供的MAX II datasheet。下面介紹一個使用并行接口讀寫UFM的實例,以及功能仿真

新建一個工程,名為ufmtest,頂層模塊ufmtest.v,代碼如下:

module ufmtest(

databus,addr,

nerase,nread,nwrite,

data_valid,nbusy

);

inout[15:0] databus; //Flash數據總線

input[8:0] addr; //Flash地址總線

input nerase; //擦除Flash某一扇區信號

input nread; //讀Flash信號

input nwrite; //寫Flash信號

output data_valid; //Flash數據輸出有效信號

output nbusy; //Flash忙信號

assign databus = nwrite ? dataout:16‘hzzzz; //寫信號有效時,Flash數據總線作為輸入

assign datain = databus; //寫入Flash數據總線連接

wire[15:0] datain; //Flash寫入數據

wire[15:0] dataout; //Flash讀出數據

//例化UFM(Flash)模塊

para_ufm para_ufm_inst (

.addr ( addr ),

.datain ( datain ),

.nerase ( nerase),

.nread ( nread ),

.nwrite ( nwrite),

.data_valid ( data_valid ),

.dataout ( dataout ),

.nbusy ( nbusy )

);

endmodule

但是在例化UFM模塊之前,大家需要先在MegaWizard Plug-In Manager里添加一個Flash模塊。步驟如下:

1,點擊菜單欄里的ToolsàMegaWizard Plug-In Manager。彈出如下,點擊next。

MAX.II的UFM模塊使用實例

2,接著選擇Memory Compiler下的Flash Memory,然后在What name do you want for the output file?下路徑的最后添加輸出文件名為para_ufm,點擊next.

MAX.II的UFM模塊使用實例

3,接下來一路Next,需要更改設置的地方如下(我也不多廢話,大家一看都明白):

MAX.II的UFM模塊使用實例

MAX.II的UFM模塊使用實例

完成上面步驟以后編譯工程,編寫testbench如下:

`timescale 1ns/1ns

module tb_ufmtest();

//inout

wire[15:0] databus; //Flash數據總線

//input

wire data_valid; //Flash數據輸出有效信號

wire nbusy; //Flash忙信號

//output

reg[8:0] addr; //Flash地址總線

reg nerase; //擦除Flash某一扇區信號

reg nread; //讀Flash信號

reg nwrite; //寫Flash信號

reg[15:0] databus_r; //測試模塊數據總線寄存器

reg[15:0] rdback_data; //測試模塊數據總線數據回讀寄存器

assign databus = nwrite ? 16’hzzzz:databus_r;

ufmtest ufmtest(

.databus(databus),

.addr(addr),

.nerase(nerase),

.nread(nread),

.nwrite(nwrite),

.data_valid(data_valid),

.nbusy(nbusy)

);

parameter DELAY_600US = 600_000, //600us延時

DELAY_2US = 2_000, //2us延時

DELAY_5US = 5_000; //5us延時

initial begin

nerase = 1;

nread = 1;

nwrite = 1;

addr = 0;

databus_r = 0;

#DELAY_600US; //0地址寫入數據99

databus_r = 99;

addr = 9‘d0;

nwrite = 0;

#DELAY_5US;

nwrite = 1;

@ (posedge nbusy);

#DELAY_5US; //0地址讀出數據,保存到寄存器rdback_data中

databus_r = 16’hff;

addr = 9‘d0;

nread = 0;

#DELAY_5US;

nread = 1;

@ (posedge data_valid);

rdback_data = databus;

#DELAY_600US;

$stop;

end

endmodule

仿真波形如下:

MAX.II的UFM模塊使用實例

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 模塊
    +關注

    關注

    7

    文章

    2725

    瀏覽量

    47610
收藏 人收藏

    評論

    相關推薦

    BOOSTXL-EDUMKII教育BoosterPack插件模塊Mark II用戶指南

    電子發燒友網站提供《BOOSTXL-EDUMKII教育BoosterPack插件模塊Mark II用戶指南.pdf》資料免費下載
    發表于 12-05 14:39 ?0次下載
    BOOSTXL-EDUMKII教育BoosterPack插件<b class='flag-5'>模塊</b>Mark <b class='flag-5'>II</b>用戶指南

    詳解MySQL多實例部署

    詳解MySQL多實例部署
    的頭像 發表于 11-11 11:10 ?300次閱讀

    LORA模塊在農業中的應用實例

    隨著物聯網技術的發展,LORA(Long Range,長距離無線通信技術)模塊因其低功耗、遠距離傳輸等優勢,在農業領域得到了廣泛應用。 1. 智能灌溉系統 應用背景: 農業灌溉是農業生產中的重要環節
    的頭像 發表于 11-01 09:25 ?906次閱讀

    在Keystone II器件上使用Arm ROM引導加載程序

    電子發燒友網站提供《在Keystone II器件上使用Arm ROM引導加載程序.pdf》資料免費下載
    發表于 09-19 12:33 ?0次下載
    在Keystone <b class='flag-5'>II</b>器件上使用Arm ROM引導加載程序

    廣成科技USBCAN-II C+型CAN盒是什么

    USBCAN-II C+是沈陽廣成科技有限公司出品的一種集成2個CAN通道的CAN盒工具,你也可以叫它CAN卡、CAN分析儀、USBCAN分析儀。相對于前身USBCAN-II C分析儀,USBCAN-II C+的外殼由金屬轉變為
    的頭像 發表于 08-30 11:47 ?738次閱讀

    廣成科技USBCAN II C+可用的GCANtools功能

    USBCAN II C+是沈陽廣成科技有限公司出品的一種雙通道CAN分析儀工具,其搭配的軟件主要廣成科技自己家的GCANTOOLS以及其他廠家的CANPro、CANTEST。相比于USBCAN II
    的頭像 發表于 08-30 11:45 ?673次閱讀

    MAX30102模塊在F407VET6的板子上不能用的原因?

    MAX30102模塊在F407VET6的板子上不能用
    發表于 05-31 07:24

    鴻蒙開發接口Ability框架:【@ohos.wantAgent (WantAgent模塊)】

    WantAgent模塊提供了觸發、取消、比較WantAgent實例和獲取bundle名稱的能力,包括創建WantAgent實例、獲取實例的用戶ID、獲取want信息等。
    的頭像 發表于 05-13 17:35 ?899次閱讀
    鴻蒙開發接口Ability框架:【@ohos.wantAgent (WantAgent<b class='flag-5'>模塊</b>)】

    哪吒汽車攜暢銷車型NETA V-II驚艷亮相曼谷國際車展

    哪吒汽車攜暢銷車型NETA V-II驚艷亮相曼谷國際車展
    的頭像 發表于 03-28 10:11 ?415次閱讀
    哪吒汽車攜暢銷車型NETA V-<b class='flag-5'>II</b>驚艷亮相曼谷國際車展

    max6675測溫不準的原因?如何解決?

    */void MAX6675_Init(void){GPIO_Init();//片選引腳初始化MX_SPI2_Init();//spi總線初始化} /** @briefmax6675模塊讀寫一個字
    發表于 03-18 07:51

    max2121射頻芯片配置問題

    有沒有max2121射頻芯片寄存器配置實例啊,為什么我配置完測試不到信號
    發表于 03-07 17:44

    verilog的135個經典實例

    verilog的135個經典實例
    發表于 02-02 10:17 ?14次下載

    二級電源和II級電源的區別

    二級電源和II級電源的區別 隨著電力需求的不斷增長,人們對電源的要求也越來越高。二級電源和II級電源是兩種常見的電源等級,它們在一些關鍵的技術規范上存在一些區別。 首先,我們來了解一下二級電源和II
    的頭像 發表于 01-19 13:51 ?2218次閱讀

    單片機編程實例介紹

    單片機(Microcontroller)是指內部集成了處理器核心、存儲器、輸入輸出接口和外圍設備接口等功能模塊的集成電路芯片。它具有體積小、功耗低、性能高等優點,被廣泛應用于嵌入式系統中。單片機編程
    的頭像 發表于 01-16 09:37 ?2662次閱讀

    單片機編程實例總結

    單片機編程實例總結
    的頭像 發表于 01-16 09:17 ?1087次閱讀
    主站蜘蛛池模板: 久久中文字幕一区二区三区| 亚洲区视频在线观看| 失禁h啪肉尿出来高h受| 亚洲免费视频在线观看| 被男同桌摸内裤好爽视频| 69精品在线观看| 五月网址| 涩五月婷婷| 欧美一级色| 亭亭色| 四虎在线最新永久免费| 欧美卡一卡二卡新区网站| 亚洲成a人不卡在线观看| 欧美猛交xxx呻吟| 插白浆| 欧美第四色| 免费亚洲一区| 天堂视频在线视频观看2018| 日韩特级毛片免费观看视频| 美女黄页黄频| ts人妖在线| 成人免费视频一区二区三区| 久久婷婷影院| 成人在线91| 色天天综合色天天碰| 日操夜干| ak福利午夜在线观看| 日本卡一卡2卡3卡4精品卡无人区| 一级做a爰片久久毛片人呢| 色视频在线免费看| 精品特级毛片| 午夜国产视频| 欧美三级黄| 与子乱刺激对白在线播放| 色女人久久| 丁香婷婷基地| 精品午夜久久影视| 高清视频免费| 久久精品国产精品亚洲婷婷| 手机免费看a| 欧美日韩一区二区三区视频在线观看 |