在线观看www成人影院-在线观看www日本免费网站-在线观看www视频-在线观看操-欧美18在线-欧美1级

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

臺積電最新技術分享,不再是單純的晶圓代工廠

章鷹觀察 ? 來源:半導體行業觀察 ? 作者:半導體行業觀察 ? 2019-05-05 10:23 ? 次閱讀

臺積電成立于1987年,自1994年以來一直舉辦年度技術研討會,今年是臺積電成立25周年(圣克拉拉會議中心普遍強調這一點)。臺積電北美總裁兼首席執行官Dave Keller表示:“第一屆硅谷研討會的與會者不足100人,而現在,出席人數已超過2000人。”

公司發展總監Cheng-Ming Liu博士介紹了臺積電汽車客戶的獨特需求,特別是在更長的產品生命周期內的持續供應。他表示:

“我們對“舊”的工藝流程的承諾是堅定不移的。我們從未關閉過一家工廠,也從未關閉過一項工藝技術。”

研究與開發/技術開發高級副總裁Y.-J.Mii博士著重介紹了工藝技術發展的三個時代,如下圖所示:

在第一階段,Dennard Scaling是指在后續的工藝節點中,將FEOL線性光刻尺寸按“s”(s < 1)的比率進行微縮,實現電路密度(1 / s^2)的提高(量度為gates / mm^2),下一階段的重點是材料的改進,而當前階段的重點是設計—技術的協同優化(馬上有更多介紹)。

在隨后的研討會上,集成互連和封裝研發副總裁DougYu博士介紹了先進封裝技術如何專注于微縮,盡管持續時間較短。 “十多年來,封裝還提供了再分布層(RDL)和凸點間距光刻的二維改進。借助我們今天所描述的多芯片、3D垂直堆疊封裝技術——特別是臺積電的SoIC產品,我們在電路密度方面取得了巨大的改善。S等于零。或者換句話說,我們實現了無限微縮。(實際上,很容易預見到產品技術將開始使用gates / mm^3進行度量。)

臺積電先進工藝技術現狀的簡要介紹

(一)N7/N7+(7nm/7nm+)

臺積電在兩年前的研討會上宣布了N7和N7 +工藝節點。

N7是“基線”的FinFET工藝,而N7+通過引入EUV光刻技術,為選定的FEOL層提供了更好的電路密度。設計IP從N7過渡到N7+需要重新部署,以實現1.2倍的邏輯門密度提高。主要亮點包括:

  • N7正在投產,2019年預計將有100多種新的流片(NTO)。
  • 關鍵IP介紹:112Gbps PAM4 SerDes。
  • N7+受益于持續的EUV輸出功率(~280W)和uptime(~85%)的改善。臺積電表示:“雖然我們預計功率和uptime會進一步改善,但這些措施足以推動N7 +容量增長。”
  • 臺積電專注于減少N7的缺陷密度(D0)。根據臺積電的說法,“在初始產量增加后,D0改進斜坡的速度比以前的節點快。”
  • 臺積電展示了N7芯片尺寸的分裂:移動客戶<100 mm^2,HPC客戶>300 mm^2。
  • 據我所知,臺積電還首次表示他們正專門為“大型芯片”追蹤D0,并報告說與其他N7產品相比,大型設計相對減少了學習。
  • N7+將于2009年下半年產量上升,并表現出與N7相當的D0缺陷率。

(二)讓5G成為現實

臺積電邀請高通首席技術官Jim Thompson介紹了他對N7的看法——這是一次非常有啟發性的演講:

  • “N7是5G的推動者,如我們最新的SnapDragon855版本所示。”
  • “具有256個天線單元的5G MIMO支持64個同步數字流(simultaneous digital streams),即16個用戶每個用戶在一部電話上接收4個數據流。”
  • “天線設計對于5G來說確實非常關鍵,可以克服路徑損耗和信號阻塞。人們正在尋求新的、創新的天線實施方案——歸根結底,這只是數學問題,盡管肯定是復雜的數學問題。”
  • “對于5G的采用率,肯定有很多人持懷疑態度。然而,5G的傳輸速度比4G快得多。在推出計劃中,只有5家運營商和3臺OEM設備支持4G,大部分在美國和韓國。目前,有超過20家運營商和20多家OEM設備專注于5G部署,包括歐洲、中國、日本和東南亞。”
  • “此外,不要忽視5G在消費類手機以外的應用中的部署,例如無線工廠自動化。與工業機器人通信需要高帶寬、低延遲和極高的可用性。考慮一下5G帶來的在無線環境下制造靈活性的機會。”

(三)N6(6nm)

臺積電推出了一款新節點產品,名為N6。此節點具有一些非常獨特的特性:

  • 與N7兼容的設計規則(例如,57 mm M1 pitch,與N7相同)
  • 與N7兼容的IP模型
  • 為有限的FEOL層提供EUV光刻,“比N7+多1個EUV層,充分利用了N7+和N5的學習經驗”
  • 更嚴格的工藝控制,比N7更快的cycle time
  • 同樣的EDA參考流程、填充算法等,與N7相同
  • N7設計可以簡單地“重新流片”(re-tapeout,RTO)到N6,以提高EUV掩模光刻的產量
  • 或者,N7設計可以通過使用N6標準單元庫(H240)重新部署邏輯塊來提交新的流片(NTO),該庫利用單元之間的“公共PODE”(CPODE)設備將邏輯塊密度提高~18%。
  • 2020年第一季度開始風險生產(圖示為13級金屬互連堆棧)
  • 盡管設計規則與N7兼容,但N6還引入了一個非常獨特的功能“M0路由”。

下圖說明了“典型”FinFET器件layout,其中M0僅用作局部互連,用于連接multi-fin器件的源極或漏極節點,并在單元內用于連接通用nFET和pFET原理圖節點。

我需要更多地思考使用M0作為路由層的機會,臺積電表示EDA路由器對此功能的支持仍然是合格的。

在我看來,N6是臺積電引入“半節點”流程路線圖的延續,如下圖所示。

半節點工藝既是工程驅動的決策,也是業務驅動的決策,目的是提供低風險的設計遷移路徑,為現有N7設計提供一個降低成本的選項,作為一個“mid-life kicker”。

N6的引入也凸顯了一個問題,這個問題將變得越來越棘手。集成外部IP的設計的遷移取決于IP提供商的工程和財政資源,以便按照適當的時間表在新節點上開發、發布(在測試站點上)、表征IP并對其進行鑒定。N6提供了在不受外部IP釋放約束的情況下引入kicker的機會。

(四)N5(5nm)

工藝節點N5合并了額外的EUV光刻,以減少需要大量多重曝光處理的圖層的掩模數。

  • 風險生產于19年3月開始,高產量增長將在2020年第二季度臺南Gigafab 18完成(19年3月完成的第1階段設備安裝)
  • 旨在同時支持移動和高性能計算“平臺”客戶;高性能應用程序將希望使用新的“超低Vt”(ELVT)器件
  • 1.5V或1.2V I / O器件支持
  • 計劃提供N5P(“PLUS”)產品,在恒定功率下可提高+7%的性能,或在恒定perf 下比N5降低約15%的功率(N5后一年)
  • N5將使用高移動性(Ge)器件溝道

先進材料工程

除了N5推出高移動性溝道外,臺積電還強調了其他材料和器件工程更新:

  • 超高密度MIM產品(N5),具有2X ff/um*2和2X插入密度
  • 新型低K介電材料
  • 金屬反應離子蝕刻(RIE),取代 Cu damascene,實現金屬間距<30um
  • 石墨烯“cap”,降低Cu互連電阻

改進的局部MIM電容將有助于解決由于較高的柵極密度而增加的電流。臺積電指出,高性能(高開關活動)設計可實現預期的個位數性能提升。

節點16FFC和12FFC都得到了器件工程改進:

  • 16FFC+ :與16FFC相比,+10% perf @恒功率,+20%POWER@恒定perf
  • 12FFC+ :與12FFC相比,+7% perf @恒功率,+15% POWER@恒定 perf

這些節點的NTO將在2019年第三季度被接受。

臺積電還簡要介紹了正在進行的未來節點材料研究的研發活動, 例如,Ge nanowire/nanoslab器件溝道,2D半導體材料(ZrSe2,MoSe2),請見下圖(來源:臺積電)。

Fab運營高級副總裁j.k Wang博士詳細討論了正在進行的降低DPPM和保持“卓越制造”的努力。特別值得注意的是為滿足汽車客戶苛刻的可靠性要求而采取的步驟。Wang博士演講的重點包括:

“自引入N16節點以來,我們在頭6個月加快了每個節點的產能提升速度。2019年N7的產能將超過每年100萬塊12英寸晶圓。自2017年以來,隨著Gigafab 15的第5至7階段已經投產,N10/N7產能增長了兩倍。”

“我們實施了積極的統計過程控制(在控制晶圓現場進行測量),以便及早發現、停止和修復過程的變化,例如基線測量的向上/向下偏移、方差偏移、工具之間的不匹配。我們建立了二維晶圓剖面測量標準,并對每個晶圓的‘驗收’剖面進行在線監測和比較。”

“N7的DDM降低率是所有節點中最快的。”

“對于汽車客戶,我們實施了獨特的措施,以實現苛刻的DPPM要求。我們會把壞區域中的好芯片標記出來。而且邊際批次會有SPC標準,它們會被廢棄。”

“我們將支持特定于產品的規格上限和下限標準。我們將報廢超出規格限制的晶圓,或保留整批晶圓進行客戶的風險評估。”(見下圖。資料來源:臺積電)

臺積電的不同技術平臺

臺積電開發了一種針對流程開發和設計支持功能的方法,主要關注四個平臺——移動、HPC、物聯網和汽車。汽車事業部總監Cheng-Min Lin博士介紹了該平臺的最新情況,以及汽車客戶的獨特特點。

(一)汽車平臺

Lin博士指出:“汽車系統既需要先進的ADAS邏輯技術,如N16FFC,也需要先進的V2X通信射頻技術。盡管從現在到2022年,汽車的復合年均增長率預計僅為1.8%,但半導體內容的復合年均增長率將為6.9%。

他繼續說:“L1/L2功能的使用率將達到30%左右,額外的MCU應用于安全、連接,以及電動/混合電動汽車功能。每輛車大約有30-40個單片機。”(在他的圖表中,預測L3/L4/L5的使用率在2020年約為0.3%,2025年為2.5%。)

“數字儀表板駕駛艙可視化系統的采用率也將提高,進一步推動半導體增長,2018年為0.2%,2025年達到11%。”

L2+

SAE International將自動駕駛輔助和最終自動駕駛的支持水平定義為“1級至5級”。也許是因為認識到實現L3到L5的困難,因此提出了一個新的“L2+”級別(盡管在SAE之外),帶有附加的攝像機和決策支持功能。

“L2+型汽車通常會集成6個攝像頭、4個短程雷達系統和1個遠程雷達單元,需要超過50GFLOPS圖形處理和>10K DMIPS導航處理吞吐量。”

N16FFC,然后是N7

16FFC平臺已通過汽車環境應用認證,例如SPICE和老化模型,基礎IP特性,非易失性存儲器,接口IP。N7平臺將于2020年通過(AEC-Q100和ASIL-B)認證。Lin博士表示:“汽車客戶往往落后消費者采用約2~3年來利用DPPM學習,盡管這一間隔正在縮短。我們預計N7汽車將在2021年被廣泛采用。”

“臺積電射頻CMOS產品將用于SRR、LRR和LIDAR。16FFC-RF增強型工藝將在2020年2季度符合合汽車平臺的要求。”

(二)物聯網平臺

臺積電物聯網平臺專注于低成本,低(有源)功耗和低泄漏(待機)功耗。物聯網業務開發總監Simon Wang博士提供了以下最新信息

工藝流程路線圖

  • 55ULP, 40ULP (w/RRAM): 0.75V/0.7V
  • 22ULP, 22ULL: 0.6V
  • 12FFC+_ULL: 0.5V (目標)
  • 為22ULL節點引入新器件:EHVT器件,超低泄漏SRAM
22ULL SRAM是一種“雙VDD rail”設計,具有獨立的邏輯(0.6V,SVT+HVT)和bitcell VDD_min(0.8V)值,可實現最佳待機功耗。
22ULL節點還獲得非易失性存儲器的MRAM選項。

請注意,一種新的方法將被應用于低VDD設計的靜態時序分析。基于階段的OCV(降階乘法器,derating multiplier)單元延遲計算將使用自由變異格式(LVF)過渡到sign-off。

下一代物聯網節點將是12FFC+_ULL,風險生產將在2020年第二季度開始。(具有SVT低VDD標準單元, 0.5V VDD)

(三)射頻

臺積電強調了RF技術的過程開發重點,作為5G和汽車應用增長的一部分。RF和模擬業務開發總監Jay Sun博士重點介紹了以下要點:

  • 對于RF系統收發器,22ULP / ULL-RF是主流節點。對于更高端的應用,16FFC-RF是合適的,其次是2020年下半年的N7-RF。
  • 重要的器件研發正在研發,以增強這些節點的器件ft和fmax,期待2020年的16FFC-RF-Enhanced(fmax> 380GHz)和2021年的N7-RF-Enhanced。
  • 新的頂級BEOL堆疊選項可用于“升高”的超厚金屬,用于電感器,使之具有更高的Q值。
  • 對于低于6GHz的RF前端設計,臺積電將于2019年推出N40SOI——從0.18微米SOI過渡到0.13微米SOI,再過渡到N40SOI,以此提供ft和fmax大幅改進的器件。

先進封裝方面的表現

從研討會我們可以看得出,臺積電顯然已從一家“純”晶圓級代工廠轉型為復雜集成系統模塊的供應商——或者根據臺積電CEO C.C.Wei的說法,臺積電是“大規模納米生產創新”的領先供應商。這是多年研發投資的成果,例如,請參閱下文“SoIC”部分中關于3D堆疊的討論。

集成互連和封裝研發副總裁Doug Yu博士提供了詳細的最新信息。Yu博士將封裝技術分為獨特的類別——“前端”3D芯片集成(SoIC)和“后端”封裝進展(CoWoS, InFO)。此外,他還介紹了焊盤間距和 Cu pillar/ SnAg凸點光刻技術的進展,特別提到了汽車級可靠性要求。

(1)凸點(Bumping)技術

臺積電繼續推進凸點技術,可實現60-80um的凸點間距(適用于較小的芯片)。

(2)CoWos

臺積電最初的2.5D封裝產品是chip-on-wafer-on-substrate(CoWoS),它通過使內存“更接近處理器”,實現了非常高性能的系統集成。

? > 50種客戶產品

? 臺積電正在開發“標準化”配置,例如,從具有2個或4個HBM的1個SoC,演變為具有8個HBM2E的2個以上SoC(96GB @ 2.5TB /秒)

相應地,臺積電將把最大2.5D中介層占用空間從1X光罩(~50x50)擴展到3X(~85x85),具有150um的凸點間距。

? 硅中介層支持5個金屬層和(新)深溝道電容——請參見下圖。

(3)InFo

臺積電繼續發展集成FanOut(InFO)封裝產品。回想一下,InFO是使用“重組晶圓”成型化合物集成(多個)芯片的手段,以提供用于RDL圖案化的封裝襯底。InFO以傳統的小封裝WLCSP技術為基礎,以實現(大面積)重分布互連和高凸點數——請參見下圖。

InFO-PoP支持在基極頂部堆疊邏輯芯片和DRAM芯片,使用through-InFO-vias(TIV)將DRAM連接到金屬層。InFO-PoP開發的重點是改善TIV的間距和縱橫比(垂直面與直徑)。

InFO-on-Substrate產品將(多芯片)InFO模塊連接到(大面積)基板,充分利用為CoWoS開發的多光罩綁結技術(multiple reticle stitching technology)。

(4)SoIC(“前端”3D集成)

研討會關于封裝的重要公告是介紹了“前端”3D芯片堆疊拓撲,稱為SoIC(System-on-Integrated Chips集成系統芯片)。

SoIC是一種多芯片之間的“無凸點”互連方法。如下圖所示(來自臺積電早期的一篇研發論文),來自基模的Cu焊盤和來自(變薄的)頂部芯片的裸露的Cu“nails”利用熱壓結合來提供電氣連接。(在 die-to-die接口也存在合適的底部填充材料。)

? 芯片中的硅通孔提供連接,間距非常緊湊。

? 支持face-to-face和face-to-back芯片連接。 “已知良好”的堆疊芯片可以是不同的尺寸,在堆疊層上具有多個芯片。

? 臺積電展示了一個3高垂直SoIC 堆疊(3-high vertical SoIC stack)實體模型。

? EDA支持可用:物理設計(DRC、網絡列表/LVS)、寄生提取、時序、IR/EM分析、信號完整性/功率完整性分析、熱/材料應力分析。

? SOIC封裝產品的資格目標是2019年。(我從單獨的臺積電公告中了解到,SoIC的將在2021年量產。)

總結

幾年前,有人半猜測半開玩笑說,“只有7個客戶能負擔得起7nm設計,只有5個客戶能負擔得起5nm”。

顯然,N7/N6和N5在移動通信、HPC和汽車(L1-L5)應用中的發展勢頭打消了這種想法。臺積電正通過DTCO大力投資這些節點,充分利用EUV光刻領域的重大進展和新材料的引入。

另外,我們也看到,除了傳統的晶圓代工以外,臺積電的2.5D和InFO“后端”封裝產品都在不斷發展,重點是推出SoIC拓撲結構的緊密間距Cu壓接全3D堆疊芯片。可用的電路密度(mm ^3)將非常吸引人。然而,利用這項技術的挑戰相當大,從系統架構分區到堆疊芯片接口的復雜電氣/熱/機械分析,全都包括在內。

摩爾定律絕對具有活力,盡管需要戴上3D眼鏡才能看到。

本文來自半導體觀察翻譯的semiwik的文章,作者Tom Dillinger. 本文作為轉載分享。

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • 臺積電
    +關注

    關注

    44

    文章

    5651

    瀏覽量

    166671
  • 晶圓代工
    +關注

    關注

    6

    文章

    859

    瀏覽量

    48612
  • 7納米
    +關注

    關注

    0

    文章

    55

    瀏覽量

    14886
  • 5納米
    +關注

    關注

    0

    文章

    27

    瀏覽量

    4895
收藏 人收藏

    評論

    相關推薦

    進入“代工2.0”,市場規模翻倍,押注先進封測技術

    尤其是先進封測技術,以期推動進入下一個業務擴張的階段。 ?
    的頭像 發表于 07-21 00:04 ?3798次閱讀
    <b class='flag-5'>臺</b><b class='flag-5'>積</b><b class='flag-5'>電</b>進入“<b class='flag-5'>晶</b><b class='flag-5'>圓</b><b class='flag-5'>代工</b>2.0”,市場規模翻倍,押注先進封測<b class='flag-5'>技術</b>

    全球代工市場三季度營收創新高,穩居首位!

    近日,市場研究機構TrendForce發布的報告顯示,2024年第三季度,全球前十大代工廠總營收實現環比增長9.1%,達到349億美元,創下歷史新高。這一成績的取得,得益于新智能手機和PC
    的頭像 發表于 12-09 11:56 ?1552次閱讀
    全球<b class='flag-5'>晶</b><b class='flag-5'>圓</b><b class='flag-5'>代工</b>市場三季度營收創新高,<b class='flag-5'>臺</b><b class='flag-5'>積</b><b class='flag-5'>電</b>穩居首位!

    三星電子代工副總裁:三星技術不輸于

     在近期的一場半導體產學研交流研討會上,三星電子代工業務部的副總裁Jeong Gi-tae展現出了高度的自信。他堅決表示,三星的技術并不遜色于
    的頭像 發表于 10-24 15:56 ?553次閱讀

    IBM、富士通或投資Rapidus代工廠

    近日,傳出美國IBM與日本富士通正考慮投資日本官民合作設立的代工廠Rapidus。Rapidus的目標是在2027年量產2納米芯片,以推動半導體產業的進一步發展。
    的頭像 發表于 10-09 16:54 ?434次閱讀

    引領全球代工熱潮,明年產值料增逾二成

    近日,知名研究機構集邦科技(TrendForce)發布了最新預測報告,揭示了全球代工行業的一片繁榮景象。報告指出,
    的頭像 發表于 09-24 14:52 ?372次閱讀

    Logic-DRAM技術獲多家大廠采用

    代工廠商力近期宣布了一項重大技術突破,其Logic-DRAM多層
    的頭像 發表于 09-06 17:33 ?834次閱讀

    出貨量增長!Q2營收飆漲,四大芯片代工廠財報有何亮點?

    從7月18日到8月13日,全球四大代工廠的第二季度業績報紛紛出爐。正如人們預期的那樣,四家企業的業績出現明顯的分化。電業績亮眼,一騎
    的頭像 發表于 08-15 00:57 ?3485次閱讀
    <b class='flag-5'>晶</b><b class='flag-5'>圓</b>出貨量增長!<b class='flag-5'>臺</b><b class='flag-5'>積</b><b class='flag-5'>電</b>Q2營收飆漲,四大芯片<b class='flag-5'>代工廠</b>財報有何亮點?

    研發芯片封裝新技術:從級到面板級的革新

    在半導體制造領域,一直是技術革新的引領者。近日,有知情人士透露,這家全球知名的芯片制造商正在積極探索一種全新的芯片封裝技術,即從傳統的
    的頭像 發表于 06-22 14:31 ?1410次閱讀

    中芯國際躍升至全球第三大代工廠

    據研究機構Counterpoint 5月22日報告,中芯國際在2024年第一季度實現了顯著的飛躍,成功躍升至全球第三大代工廠,僅次于行業巨頭
    的頭像 發表于 05-27 14:15 ?627次閱讀

    SK海力士向中企出售無錫代工廠近50%股權

    半導體行業近日迎來重大消息,SK海力士系統IC決定將其無錫代工廠的部分股權出售給無錫產業發展集團公司。根據雙方簽署的協議,SK海力士將出售其持有的無錫晶圓廠49.9%的股權,交易總額高達3.493億美元。
    的頭像 發表于 05-10 14:45 ?870次閱讀

    美國純MEMS代工廠RVM宣布新建12英寸MEMS代工產線

    據麥姆斯咨詢報道,美國純MEMS代工廠Rogue Valley Microdevices(簡稱:RVM)近日宣布,其正在佛羅里達州棕櫚灣建設的第二座晶圓廠將具備12英寸MEMS代工
    的頭像 發表于 05-10 09:10 ?898次閱讀

    新技術有望挑戰英特爾芯片性能之王

    作為全球最具影響力的代工廠是眾多科技巨頭如英偉達和蘋果的重要芯片供應商。在美國加利福
    的頭像 發表于 04-25 13:03 ?357次閱讀

    領跑全球代工市場,聯、格芯面臨沖擊

    盡管聯和格芯總體市場份額相當微薄,約只有6%,受到終端設備需求下滑及庫存調整的影響,預計2024年發展較為謹慎。中芯國際躋身全球前五大代工廠之列,市場份額為5%。與此同時,智能手
    的頭像 發表于 03-28 15:50 ?508次閱讀

    中國代工廠降低價格吸引客戶

    近期,中國大陸的代工廠采取了降低流片價格的策略,旨在吸引更多客戶。這一策略的實施可能導致一些客戶考慮取消訂單,并考慮轉向中國大陸的
    的頭像 發表于 01-25 16:37 ?2559次閱讀

    平均售價同比上漲22.8%!

    根據最新的財報,2024年第四季度,12英寸
    的頭像 發表于 01-25 15:35 ?365次閱讀
    主站蜘蛛池模板: 亚洲激情综合| 手机在线看片你懂得| 日本色婷婷| 欧美视频一区二区三区在线观看| 特黄一级黄色片| 女同性进行性行为视频| 亚洲精品一卡2卡3卡三卡四卡| 欧美日韩国产在线一区| 黄视频网站在线看| 欧美黑人xxxxxxxxxx| 美女丝袜长腿喷水gif动态图| 欧美色天使| 天堂欧美| 曰韩一级| 九月婷婷综合婷婷| 亚洲成a人一区二区三区| 视频在线视频免费观看| 免费看片免费播放| 国产精品夜夜春夜夜爽久久| 思思久久好好热精品国产| bt种子在线www天堂官网| 韩国三级在线不卡播放| 欧洲综合色| 人人做人人插| 日韩一级片免费看| 色综合久久久久久久久五月性色| 永久黄网站色视频免费观看99| 在线观看免费视频资源| 久久鬼| 男女免费网站| 日本黄色的视频| 精品日韩| 天天射天天草| 手机亚洲第一页| 1024国产欧美日韩精品| 亚洲91在线视频| 欧美日韩性大片| 福利视频一区二区三区| 超h 高h 污肉男男| 国产福利vr专区精品| 特级全毛片|